New Gerbers published

Very few changes.  Fuse added, port moved.
This commit is contained in:
EspoTek 2017-04-18 12:55:55 +10:00
parent 5198eb261f
commit 56b13e9a95
25 changed files with 4605 additions and 294 deletions

View File

@ -1,6 +1,6 @@
<?xml version="1.0" encoding="UTF-8"?>
<!DOCTYPE QtCreatorProject>
<!-- Written by QtCreator 4.1.0, 2017-04-09T15:06:27. -->
<!-- Written by QtCreator 4.1.0, 2017-04-10T21:22:40. -->
<qtcreator>
<data>
<variable>EnvironmentId</variable>

Binary file not shown.

View File

@ -0,0 +1,105 @@
%PDF-1.5
%€<>ƒ
3 0 obj
<< /Length 4 0 R /Filter /FlateDecode >>
stream
xÚmŽA
Ã0 ïyžÀHQÛ/(ô˜/èP(ä’úýÊuZŒ`Gë•D<E280A2>(Πòº´Œ»×³:8_±Ý 9H$ÆkúñþtXÉ
¥5Å2¯BØ1pT§LEGæð!Ï4²Âyp™„{²“ϵ<C38F>5é•Ô% s+Ùµµ¹í¢šï5œÓžx9Ìendstream
endobj
4 0 obj
132
endobj
5 0 obj
<<
/Type /Page
/Parent 1 0 R
/Resources <<
/ProcSet [/PDF /Text /ImageC /ImageB]
/Font 2 0 R >>
/MediaBox [0 0 842 596]
/Contents 3 0 R
>>
endobj
6 0 obj
<< /BaseFont /Helvetica
/Type /Font
/Subtype /Type1
/Encoding /WinAnsiEncoding
>>
endobj
7 0 obj
<< /BaseFont /Helvetica-Oblique
/Type /Font
/Subtype /Type1
/Encoding /WinAnsiEncoding
>>
endobj
8 0 obj
<< /BaseFont /Helvetica-Bold
/Type /Font
/Subtype /Type1
/Encoding /WinAnsiEncoding
>>
endobj
9 0 obj
<< /BaseFont /Helvetica-BoldOblique
/Type /Font
/Subtype /Type1
/Encoding /WinAnsiEncoding
>>
endobj
2 0 obj
<<
/KicadFont 6 0 R
/KicadFontI 7 0 R
/KicadFontB 8 0 R
/KicadFontBI 9 0 R
>>
endobj
1 0 obj
<<
/Type /Pages
/Kids [
5 0 R
]
/Count 1
>>
endobj
10 0 obj
<<
/Producer (KiCAD PDF)
/CreationDate (D:20170418125053)
/Creator (PCBNEW)
/Title (C:\Users\Esposch\Documents\GitHub\Labrador\PCB\LABRADOR_BE_FILES_BATCH_1\Tinylab_proto1-F.Adhes.pdf)
/Trapped false
>>
endobj
11 0 obj
<<
/Type /Catalog
/Pages 1 0 R
/Version /1.5
/PageMode /UseNone
/PageLayout /SinglePage
>>
endobj
xref
0 12
0000000000 65535 f
0000000959 00000 n
0000000850 00000 n
0000000015 00000 n
0000000220 00000 n
0000000239 00000 n
0000000401 00000 n
0000000507 00000 n
0000000621 00000 n
0000000732 00000 n
0000001018 00000 n
0000001237 00000 n
trailer
<< /Size 12 /Root 11 0 R /Info 10 0 R >>
startxref
1344
%%EOF

Binary file not shown.

View File

@ -0,0 +1,131 @@
M48
;DRILL file {KiCad 4.0.4-stable} date 04/18/17 12:50:58
;FORMAT={-:-/ absolute / inch / decimal}
FMAT,2
INCH,TZ
T1C0.016
T2C0.022
T3C0.028
T4C0.040
%
G90
G05
M72
T1
X2.854Y-4.442
X2.973Y-4.31
X2.98Y-4.5475
X2.9825Y-4.6475
X2.9825Y-4.73
X3.005Y-5.158
X3.025Y-4.8275
X3.032Y-5.014
X3.05Y-4.12
X3.055Y-4.937
X3.079Y-5.17
X3.0875Y-5.015
X3.1175Y-5.1025
X3.119Y-5.03
X3.145Y-4.875
X3.1475Y-4.49
X3.15Y-4.775
X3.152Y-4.816
X3.161Y-4.976
X3.198Y-5.247
X3.21Y-4.255
X3.226Y-4.804
X3.25Y-5.0075
X3.253Y-4.182
X3.26Y-4.846
X3.267Y-4.748
X3.295Y-4.39
X3.304Y-5.114
X3.304Y-5.198
X3.376Y-5.156
X3.39Y-4.915
X3.418Y-5.071
X3.426Y-4.491
X3.43Y-4.97
X3.498Y-5.014
X3.51Y-4.75
X3.5175Y-4.79
X3.5175Y-4.88
X3.5225Y-4.6125
X3.554Y-4.797
X3.5675Y-4.87
X3.621Y-5.014
X3.635Y-4.8825
X3.6575Y-4.5925
X3.678Y-4.252
X3.6875Y-4.9225
X3.694Y-4.829
X3.721Y-4.871
X3.733Y-4.162
X3.748Y-4.82
X3.753Y-5.175
X3.76Y-4.29
X3.7625Y-4.4325
X3.7725Y-4.58
X3.775Y-4.917
X3.7775Y-4.7475
X3.782Y-5.219
X3.7825Y-4.785
X3.785Y-4.6275
X3.814Y-4.851
X3.8175Y-4.6575
X3.864Y-4.81
X3.864Y-5.174
X3.865Y-4.778
X3.8705Y-5.252
X3.885Y-4.535
X3.9025Y-4.42
X3.978Y-4.334
X4.047Y-4.413
X4.067Y-4.081
X4.078Y-4.15
X4.123Y-4.851
T4
X2.798Y-3.9995
X2.798Y-4.0995
X2.798Y-4.1995
X2.8Y-4.3
X2.8Y-4.4
X2.8Y-4.5
X2.8Y-4.6
X2.8Y-4.7
X2.8Y-4.8
X2.8Y-4.9
X2.8Y-5.
X2.8Y-5.1
X2.8Y-5.2
X2.9Y-4.
X2.9Y-4.1
X2.9Y-4.2
X2.9Y-4.3
X2.9Y-4.4
X3.05Y-4.35
X3.15Y-4.35
X3.3775Y-5.2175
X3.4775Y-5.0925
X3.4775Y-5.2175
X3.5775Y-5.0925
X3.5775Y-5.2175
X3.6775Y-5.0925
X3.6775Y-5.2175
X3.7775Y-5.0925
X4.113Y-5.103
X4.113Y-5.203
X4.118Y-4.543
X4.118Y-4.643
T2
X4.021Y-3.993G85X4.021Y-3.9753
G05
X4.021Y-4.1898G85X4.021Y-4.1721
G05
T3
X4.1361Y-3.9447G85X4.1184Y-3.9447
G05
X4.1361Y-4.2203G85X4.1184Y-4.2203
G05
T0
M30

Binary file not shown.

View File

@ -0,0 +1,12 @@
G04 #@! TF.FileFunction,Glue,Bot*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 4.0.4-stable) date 04/18/17 12:51:57*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.100000*%
G04 APERTURE END LIST*
D10*
M02*

View File

@ -0,0 +1,668 @@
G04 #@! TF.FileFunction,Copper,L2,Bot,Signal*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 4.0.4-stable) date 04/18/17 12:51:57*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.100000*%
%ADD11O,0.950000X1.400000*%
%ADD12O,1.550000X1.200000*%
%ADD13R,1.727200X2.032000*%
%ADD14O,1.727200X2.032000*%
%ADD15R,2.032000X1.727200*%
%ADD16O,2.032000X1.727200*%
%ADD17R,1.727200X1.727200*%
%ADD18O,1.727200X1.727200*%
%ADD19R,2.032000X2.032000*%
%ADD20O,2.032000X2.032000*%
%ADD21C,0.600000*%
%ADD22C,0.250000*%
%ADD23C,0.635000*%
%ADD24C,1.270000*%
%ADD25C,0.400000*%
G04 APERTURE END LIST*
D10*
D11*
X102132960Y-106196400D03*
X102132960Y-101196400D03*
D12*
X104832960Y-107196400D03*
X104832960Y-100196400D03*
D13*
X88328500Y-129349500D03*
D14*
X90868500Y-129349500D03*
X93408500Y-129349500D03*
X95948500Y-129349500D03*
D15*
X71120000Y-114300000D03*
D16*
X71120000Y-116840000D03*
X71120000Y-119380000D03*
X71120000Y-121920000D03*
D17*
X71120000Y-109220000D03*
D18*
X73660000Y-109220000D03*
X71120000Y-111760000D03*
X73660000Y-111760000D03*
D13*
X85788500Y-132524500D03*
D14*
X88328500Y-132524500D03*
X90868500Y-132524500D03*
X93408500Y-132524500D03*
D15*
X71120000Y-124460000D03*
D16*
X71120000Y-127000000D03*
X71120000Y-129540000D03*
X71120000Y-132080000D03*
D19*
X77470000Y-110490000D03*
D20*
X80010000Y-110490000D03*
D19*
X104470200Y-129616200D03*
D20*
X104470200Y-132156200D03*
D15*
X71069200Y-101587300D03*
D16*
X71069200Y-104127300D03*
X71069200Y-106667300D03*
D15*
X73660000Y-101600000D03*
D16*
X73660000Y-104140000D03*
X73660000Y-106680000D03*
D19*
X104597200Y-115392200D03*
D20*
X104597200Y-117932200D03*
D21*
X81229200Y-133273800D03*
X85750400Y-130962400D03*
X101041200Y-110083600D03*
X102793800Y-112090200D03*
X93421200Y-108000800D03*
X98145600Y-131419600D03*
X96062800Y-132562600D03*
X95567500Y-112585500D03*
X95504000Y-108966000D03*
X79222600Y-127762000D03*
X80289400Y-126390400D03*
X75514200Y-109474000D03*
X80060800Y-122326400D03*
X81940400Y-122021600D03*
X95199200Y-122428000D03*
X90271600Y-121843800D03*
X82626200Y-106222800D03*
X104724200Y-123215400D03*
X95885000Y-124891800D03*
X99123500Y-112268000D03*
X79946500Y-114046000D03*
X94513400Y-123723400D03*
X86817200Y-128803400D03*
X77470000Y-104648000D03*
X81534000Y-108077000D03*
X72491600Y-112826800D03*
X83693000Y-111506000D03*
X87020400Y-114071400D03*
X92900500Y-116649500D03*
X89471500Y-117157500D03*
X90614500Y-123698000D03*
X78422500Y-127381000D03*
X89344500Y-123952000D03*
X79184500Y-129603500D03*
X89154000Y-120650000D03*
X80010000Y-121285000D03*
X79883000Y-123825000D03*
X89344500Y-121666000D03*
X93662500Y-125031500D03*
X92329000Y-124015500D03*
X98310700Y-133400800D03*
X88849200Y-127355600D03*
X95326200Y-131445000D03*
X91973400Y-127355600D03*
X94818200Y-105714800D03*
X96875600Y-123215400D03*
X98145600Y-122174000D03*
X103581200Y-105410000D03*
X98171000Y-121361200D03*
X103301800Y-103657400D03*
X96075500Y-121539000D03*
X76835000Y-122618500D03*
X95948500Y-120586500D03*
X75755500Y-120142000D03*
X96964500Y-118300500D03*
X75755500Y-118046500D03*
X96139000Y-117538500D03*
X75692000Y-115506500D03*
X98679000Y-115189000D03*
X95821500Y-116332000D03*
X93827600Y-122656600D03*
X86106000Y-124841000D03*
X87122000Y-126238000D03*
X82550000Y-127190500D03*
X83921600Y-132029200D03*
X83921600Y-129895600D03*
X82981800Y-120599200D03*
X82804000Y-123088400D03*
X78206600Y-131318000D03*
X77597000Y-125399800D03*
X76327000Y-131013200D03*
X77012800Y-127355600D03*
D22*
X93408500Y-129349500D02*
X93256100Y-129349500D01*
X93256100Y-129349500D02*
X91744800Y-130860800D01*
X89839800Y-130860800D02*
X88328500Y-129349500D01*
X91744800Y-130860800D02*
X89839800Y-130860800D01*
X85788500Y-132524500D02*
X85915500Y-132524500D01*
X85915500Y-132524500D02*
X87528400Y-130911600D01*
X89052400Y-130911600D02*
X90665300Y-132524500D01*
X87528400Y-130911600D02*
X89052400Y-130911600D01*
X90665300Y-132524500D02*
X90868500Y-132524500D01*
X81229200Y-133273800D02*
X81991200Y-132892800D01*
X81991200Y-132892800D02*
X84277200Y-132892800D01*
X84277200Y-132892800D02*
X84582000Y-132588000D01*
X84582000Y-132588000D02*
X84582000Y-131216400D01*
X84582000Y-131216400D02*
X84836000Y-130962400D01*
X84836000Y-130962400D02*
X85750400Y-130962400D01*
X88328500Y-132524500D02*
X88328500Y-132676900D01*
D23*
X101041200Y-110337600D02*
X101041200Y-110083600D01*
X102793800Y-112090200D02*
X101041200Y-110337600D01*
D24*
X93040200Y-108000800D02*
X93421200Y-108000800D01*
X91135200Y-106095800D02*
X93040200Y-108000800D01*
X82753200Y-106095800D02*
X91135200Y-106095800D01*
D22*
X97383600Y-132181600D02*
X98145600Y-131419600D01*
X96697800Y-132181600D02*
X97383600Y-132181600D01*
X96062800Y-132562600D02*
X96697800Y-132181600D01*
D24*
X95567500Y-112585500D02*
X95567500Y-109029500D01*
X95567500Y-109029500D02*
X95504000Y-108966000D01*
D22*
X80035400Y-126949200D02*
X79222600Y-127762000D01*
X80035400Y-126644400D02*
X80035400Y-126949200D01*
X80289400Y-126390400D02*
X80035400Y-126644400D01*
D23*
X75514200Y-109474000D02*
X75514200Y-109118400D01*
X80010000Y-110490000D02*
X80010000Y-109829600D01*
X80010000Y-109829600D02*
X78638400Y-108458000D01*
X78638400Y-108458000D02*
X76174600Y-108458000D01*
X76174600Y-108458000D02*
X75514200Y-109118400D01*
D22*
X80010000Y-109829600D02*
X78638400Y-108458000D01*
X75514200Y-109118400D02*
X75514200Y-109474000D01*
X76174600Y-108458000D02*
X75514200Y-109118400D01*
X78638400Y-108458000D02*
X76174600Y-108458000D01*
X80060800Y-122326400D02*
X80365600Y-122021600D01*
X80365600Y-122021600D02*
X81940400Y-122021600D01*
D23*
X80010000Y-110490000D02*
X80010000Y-109728000D01*
D22*
X94640400Y-122428000D02*
X95199200Y-122428000D01*
X93878400Y-121666000D02*
X94640400Y-122428000D01*
X90449400Y-121666000D02*
X93878400Y-121666000D01*
X90271600Y-121843800D02*
X90449400Y-121666000D01*
D24*
X82626200Y-106222800D02*
X82753200Y-106095800D01*
D22*
X103022400Y-124917200D02*
X104724200Y-123215400D01*
X100660200Y-124917200D02*
X103022400Y-124917200D01*
X100406200Y-125171200D02*
X100660200Y-124917200D01*
X98374200Y-125171200D02*
X100406200Y-125171200D01*
X98094800Y-124891800D02*
X98374200Y-125171200D01*
X95885000Y-124891800D02*
X98094800Y-124891800D01*
D23*
X97980500Y-113411000D02*
X99123500Y-112268000D01*
X97028000Y-114363500D02*
X97980500Y-113411000D01*
X88138000Y-114363500D02*
X97028000Y-114363500D01*
X87312500Y-115189000D02*
X88138000Y-114363500D01*
X86360000Y-115189000D02*
X87312500Y-115189000D01*
X85852000Y-114681000D02*
X86360000Y-115189000D01*
X85407500Y-114681000D02*
X85852000Y-114681000D01*
X84772500Y-114046000D02*
X85407500Y-114681000D01*
X79946500Y-114046000D02*
X84772500Y-114046000D01*
D22*
X94386400Y-123850400D02*
X94513400Y-123723400D01*
X94157800Y-123850400D02*
X94386400Y-123850400D01*
X93573600Y-123266200D02*
X94157800Y-123850400D01*
X92049600Y-123266200D02*
X93573600Y-123266200D01*
X91617800Y-123698000D02*
X92049600Y-123266200D01*
X91617800Y-124206000D02*
X91617800Y-123698000D01*
X89103200Y-126720600D02*
X91617800Y-124206000D01*
X88519000Y-126720600D02*
X89103200Y-126720600D01*
X86918800Y-128320800D02*
X88519000Y-126720600D01*
X86918800Y-128701800D02*
X86918800Y-128320800D01*
X86817200Y-128803400D02*
X86918800Y-128701800D01*
D25*
X78105000Y-104648000D02*
X77470000Y-104648000D01*
X81534000Y-108077000D02*
X78105000Y-104648000D01*
D22*
X72491600Y-112826800D02*
X72466200Y-112801400D01*
X72466200Y-112801400D02*
X72466200Y-111099600D01*
X72466200Y-111099600D02*
X71120000Y-109753400D01*
X71120000Y-109753400D02*
X71120000Y-109220000D01*
D25*
X71120000Y-109220000D02*
X71120000Y-109474000D01*
D22*
X71069200Y-103428800D02*
X72529700Y-104889300D01*
X72529700Y-104889300D02*
X72529700Y-105270300D01*
X72529700Y-105270300D02*
X72656700Y-105397300D01*
X72656700Y-105397300D02*
X74815700Y-105397300D01*
X74815700Y-105397300D02*
X76974700Y-107556300D01*
X76974700Y-107556300D02*
X79451200Y-107556300D01*
X79451200Y-107556300D02*
X82816700Y-110921800D01*
X82816700Y-110921800D02*
X83108800Y-110921800D01*
X83108800Y-110921800D02*
X83693000Y-111506000D01*
X83693000Y-112344200D02*
X83693000Y-111506000D01*
X84302600Y-112953800D02*
X83693000Y-112344200D01*
X85852000Y-112953800D02*
X84302600Y-112953800D01*
X86766400Y-113868200D02*
X85852000Y-112953800D01*
X86817200Y-113868200D02*
X86766400Y-113868200D01*
X87020400Y-114071400D02*
X86817200Y-113868200D01*
X92202000Y-116967000D02*
X92900500Y-116649500D01*
X91630500Y-117094000D02*
X92202000Y-116967000D01*
X91567000Y-117157500D02*
X91630500Y-117094000D01*
X89471500Y-117157500D02*
X91567000Y-117157500D01*
X90106500Y-123380500D02*
X90614500Y-123698000D01*
X89154000Y-123253500D02*
X90106500Y-123380500D01*
X88519000Y-123571000D02*
X89154000Y-123253500D01*
X88392000Y-124904500D02*
X88519000Y-123571000D01*
X87693500Y-125603000D02*
X88392000Y-124904500D01*
X79819500Y-125603000D02*
X87693500Y-125603000D01*
X79756000Y-125666500D02*
X79819500Y-125603000D01*
X79756000Y-126047500D02*
X79756000Y-125666500D01*
X78422500Y-127381000D02*
X79756000Y-126047500D01*
X89281000Y-124015500D02*
X89344500Y-123952000D01*
X88773000Y-125476000D02*
X89281000Y-124015500D01*
X85725000Y-128968500D02*
X88773000Y-125476000D01*
X83502500Y-128968500D02*
X85725000Y-128968500D01*
X82804000Y-129667000D02*
X83502500Y-128968500D01*
X79248000Y-129667000D02*
X82804000Y-129667000D01*
X79184500Y-129603500D02*
X79248000Y-129667000D01*
X78930500Y-121285000D02*
X80010000Y-121285000D01*
X78359000Y-121856500D02*
X78930500Y-121285000D01*
X78359000Y-123126500D02*
X78359000Y-121856500D01*
X81089500Y-123126500D02*
X78359000Y-123126500D01*
X82042000Y-124079000D02*
X81089500Y-123126500D01*
X82804000Y-124079000D02*
X82042000Y-124079000D01*
X85725000Y-121158000D02*
X82804000Y-124079000D01*
X88646000Y-121158000D02*
X85725000Y-121158000D01*
X89154000Y-120650000D02*
X88646000Y-121158000D01*
X81153000Y-125095000D02*
X79883000Y-123825000D01*
X84137500Y-125095000D02*
X81153000Y-125095000D01*
X86804500Y-122428000D02*
X84137500Y-125095000D01*
X88582500Y-122428000D02*
X86804500Y-122428000D01*
X89344500Y-121666000D02*
X88582500Y-122428000D01*
X93662500Y-124460000D02*
X93662500Y-125031500D01*
X93281500Y-124079000D02*
X93662500Y-124460000D01*
X92456000Y-123888500D02*
X93281500Y-124079000D01*
X92329000Y-124015500D02*
X92456000Y-123888500D01*
X88849200Y-127355600D02*
X89306400Y-127812800D01*
X89306400Y-127812800D02*
X90220800Y-127812800D01*
X90220800Y-127812800D02*
X91516200Y-126517400D01*
X91516200Y-126517400D02*
X98348800Y-126517400D01*
X98348800Y-126517400D02*
X99390200Y-127558800D01*
X99390200Y-127558800D02*
X99390200Y-132410200D01*
X99390200Y-132410200D02*
X98310700Y-133400800D01*
X95326200Y-131445000D02*
X94665800Y-130784600D01*
X94665800Y-130784600D02*
X94665800Y-128473200D01*
X94665800Y-128473200D02*
X94107000Y-127914400D01*
X94107000Y-127914400D02*
X92532200Y-127914400D01*
X92532200Y-127914400D02*
X91973400Y-127355600D01*
X94818200Y-105714800D02*
X99974400Y-110794800D01*
X99974400Y-110794800D02*
X99974400Y-114884200D01*
X99974400Y-114884200D02*
X97663000Y-117195600D01*
X97663000Y-117195600D02*
X97663000Y-118973600D01*
X97663000Y-118973600D02*
X96799400Y-119837200D01*
X96799400Y-119837200D02*
X96799400Y-123139200D01*
X96799400Y-123139200D02*
X96875600Y-123215400D01*
X103301800Y-105689400D02*
X103581200Y-105410000D01*
X103301800Y-107823000D02*
X103301800Y-105689400D01*
X104241600Y-108762800D02*
X103301800Y-107823000D01*
X104241600Y-113055400D02*
X104241600Y-108762800D01*
X101549200Y-115747800D02*
X104241600Y-113055400D01*
X101549200Y-120065800D02*
X101549200Y-115747800D01*
X99415600Y-122199400D02*
X101549200Y-120065800D01*
X98171000Y-122199400D02*
X99415600Y-122199400D01*
X98145600Y-122174000D02*
X98171000Y-122199400D01*
X100431600Y-103657400D02*
X103301800Y-103657400D01*
X99923600Y-104165400D02*
X100431600Y-103657400D01*
X99923600Y-107315000D02*
X99923600Y-104165400D01*
X103708200Y-111099600D02*
X99923600Y-107315000D01*
X103708200Y-112471200D02*
X103708200Y-111099600D01*
X103530400Y-112649000D02*
X103708200Y-112471200D01*
X103225600Y-112649000D02*
X103530400Y-112649000D01*
X98145600Y-117729000D02*
X103225600Y-112649000D01*
X98145600Y-121335800D02*
X98145600Y-117729000D01*
X98171000Y-121361200D02*
X98145600Y-121335800D01*
X94551500Y-121539000D02*
X96075500Y-121539000D01*
X93027500Y-120015000D02*
X94551500Y-121539000D01*
X88519000Y-120015000D02*
X93027500Y-120015000D01*
X88011000Y-120523000D02*
X88519000Y-120015000D01*
X86042500Y-120523000D02*
X88011000Y-120523000D01*
X85344000Y-119824500D02*
X86042500Y-120523000D01*
X82550000Y-119824500D02*
X85344000Y-119824500D01*
X81788000Y-120586500D02*
X82550000Y-119824500D01*
X78613000Y-120586500D02*
X81788000Y-120586500D01*
X78232000Y-120967500D02*
X78613000Y-120586500D01*
X78232000Y-121221500D02*
X78232000Y-120967500D01*
X76835000Y-122618500D02*
X78232000Y-121221500D01*
X95758000Y-120777000D02*
X95948500Y-120586500D01*
X94932500Y-120777000D02*
X95758000Y-120777000D01*
X93535500Y-119380000D02*
X94932500Y-120777000D01*
X88265000Y-119380000D02*
X93535500Y-119380000D01*
X88011000Y-119634000D02*
X88265000Y-119380000D01*
X86296500Y-119634000D02*
X88011000Y-119634000D01*
X85788500Y-119126000D02*
X86296500Y-119634000D01*
X82169000Y-119126000D02*
X85788500Y-119126000D01*
X81407000Y-119888000D02*
X82169000Y-119126000D01*
X76009500Y-119888000D02*
X81407000Y-119888000D01*
X75755500Y-120142000D02*
X76009500Y-119888000D01*
X95631000Y-119634000D02*
X96964500Y-118300500D01*
X94678500Y-119634000D02*
X95631000Y-119634000D01*
X93535500Y-118491000D02*
X94678500Y-119634000D01*
X76200000Y-118491000D02*
X93535500Y-118491000D01*
X75755500Y-118046500D02*
X76200000Y-118491000D01*
X95885000Y-117792500D02*
X96139000Y-117538500D01*
X79375000Y-117792500D02*
X95885000Y-117792500D01*
X79057500Y-117475000D02*
X79375000Y-117792500D01*
X77660500Y-117475000D02*
X79057500Y-117475000D01*
X75692000Y-115506500D02*
X77660500Y-117475000D01*
X73660000Y-109220000D02*
X73660000Y-109283500D01*
X73660000Y-109283500D02*
X75438000Y-111061500D01*
X75438000Y-111061500D02*
X75438000Y-111760000D01*
X75438000Y-111760000D02*
X79946500Y-116268500D01*
X79946500Y-116268500D02*
X87820500Y-116268500D01*
X87820500Y-116268500D02*
X88582500Y-115506500D01*
X88582500Y-115506500D02*
X91884500Y-115506500D01*
X91884500Y-115506500D02*
X92202000Y-115189000D01*
X92202000Y-115189000D02*
X98679000Y-115189000D01*
X73660000Y-111760000D02*
X73723500Y-111760000D01*
X73723500Y-111760000D02*
X78803500Y-116840000D01*
X78803500Y-116840000D02*
X88328500Y-116840000D01*
X88328500Y-116840000D02*
X89090500Y-116078000D01*
X89090500Y-116078000D02*
X92392500Y-116078000D01*
X92392500Y-116078000D02*
X92583000Y-115887500D01*
X92583000Y-115887500D02*
X93789500Y-115887500D01*
X93789500Y-115887500D02*
X94234000Y-116332000D01*
X94234000Y-116332000D02*
X95821500Y-116332000D01*
X93827600Y-122847100D02*
X93827600Y-122656600D01*
X93281500Y-122491500D02*
X93827600Y-122847100D01*
X89155398Y-122491500D02*
X93281500Y-122491500D01*
X88706992Y-122939906D02*
X89155398Y-122491500D01*
X87943594Y-122939906D02*
X88706992Y-122939906D01*
X86296500Y-124587000D02*
X87943594Y-122939906D01*
X86296500Y-124650500D02*
X86296500Y-124587000D01*
X86106000Y-124841000D02*
X86296500Y-124650500D01*
X87058500Y-126301500D02*
X87122000Y-126238000D01*
X83439000Y-126301500D02*
X87058500Y-126301500D01*
X82550000Y-127190500D02*
X83439000Y-126301500D01*
X83921600Y-132029200D02*
X83870800Y-131978400D01*
X83870800Y-131978400D02*
X83921600Y-129895600D01*
X82981800Y-122910600D02*
X82981800Y-120599200D01*
X82804000Y-123088400D02*
X82981800Y-122910600D01*
X78206600Y-131318000D02*
X77673200Y-130784600D01*
X77673200Y-130784600D02*
X77673200Y-130639398D01*
X77673200Y-130639398D02*
X77183402Y-130149600D01*
X77183402Y-130149600D02*
X77183402Y-128099398D01*
X77183402Y-128099398D02*
X77673200Y-127609600D01*
X77673200Y-127609600D02*
X77673200Y-125476000D01*
X77673200Y-125476000D02*
X77597000Y-125399800D01*
X76327000Y-131013200D02*
X76733400Y-130606800D01*
X76733400Y-130606800D02*
X76733400Y-127635000D01*
X76733400Y-127635000D02*
X77012800Y-127355600D01*
M02*

View File

@ -0,0 +1,803 @@
G04 #@! TF.FileFunction,Legend,Bot*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 4.0.4-stable) date 04/18/17 12:51:57*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.100000*%
%ADD11C,0.250000*%
%ADD12C,0.300000*%
%ADD13C,0.010000*%
G04 APERTURE END LIST*
D10*
D11*
X101794962Y-99801371D02*
X101652105Y-99848990D01*
X101604486Y-99896610D01*
X101556867Y-99991848D01*
X101556867Y-100134705D01*
X101604486Y-100229943D01*
X101652105Y-100277562D01*
X101747343Y-100325181D01*
X102128296Y-100325181D01*
X102128296Y-99325181D01*
X101794962Y-99325181D01*
X101699724Y-99372800D01*
X101652105Y-99420419D01*
X101604486Y-99515657D01*
X101604486Y-99610895D01*
X101652105Y-99706133D01*
X101699724Y-99753752D01*
X101794962Y-99801371D01*
X102128296Y-99801371D01*
X100699724Y-100325181D02*
X100699724Y-99801371D01*
X100747343Y-99706133D01*
X100842581Y-99658514D01*
X101033058Y-99658514D01*
X101128296Y-99706133D01*
X100699724Y-100277562D02*
X100794962Y-100325181D01*
X101033058Y-100325181D01*
X101128296Y-100277562D01*
X101175915Y-100182324D01*
X101175915Y-100087086D01*
X101128296Y-99991848D01*
X101033058Y-99944229D01*
X100794962Y-99944229D01*
X100699724Y-99896610D01*
X100366391Y-99658514D02*
X99985439Y-99658514D01*
X100223534Y-99325181D02*
X100223534Y-100182324D01*
X100175915Y-100277562D01*
X100080677Y-100325181D01*
X99985439Y-100325181D01*
X99223533Y-100277562D02*
X99318771Y-100325181D01*
X99509248Y-100325181D01*
X99604486Y-100277562D01*
X99652105Y-100229943D01*
X99699724Y-100134705D01*
X99699724Y-99848990D01*
X99652105Y-99753752D01*
X99604486Y-99706133D01*
X99509248Y-99658514D01*
X99318771Y-99658514D01*
X99223533Y-99706133D01*
X98794962Y-100325181D02*
X98794962Y-99325181D01*
X98366390Y-100325181D02*
X98366390Y-99801371D01*
X98414009Y-99706133D01*
X98509247Y-99658514D01*
X98652105Y-99658514D01*
X98747343Y-99706133D01*
X98794962Y-99753752D01*
X96604485Y-100325181D02*
X97175914Y-100325181D01*
X96890200Y-100325181D02*
X96890200Y-99325181D01*
X96985438Y-99468038D01*
X97080676Y-99563276D01*
X97175914Y-99610895D01*
D12*
X83522700Y-131071157D02*
X83022700Y-131071157D01*
X82808414Y-131856871D02*
X83522700Y-131856871D01*
X83522700Y-130356871D01*
X82808414Y-130356871D01*
X81522700Y-131856871D02*
X81522700Y-130356871D01*
X81522700Y-131785443D02*
X81665557Y-131856871D01*
X81951271Y-131856871D01*
X82094129Y-131785443D01*
X82165557Y-131714014D01*
X82236986Y-131571157D01*
X82236986Y-131142586D01*
X82165557Y-130999729D01*
X82094129Y-130928300D01*
X81951271Y-130856871D01*
X81665557Y-130856871D01*
X81522700Y-130928300D01*
X80808414Y-131856871D02*
X80808414Y-130856871D01*
X80808414Y-130356871D02*
X80879843Y-130428300D01*
X80808414Y-130499729D01*
X80736986Y-130428300D01*
X80808414Y-130356871D01*
X80808414Y-130499729D01*
X80308414Y-130856871D02*
X79736985Y-130856871D01*
X80094128Y-130356871D02*
X80094128Y-131642586D01*
X80022700Y-131785443D01*
X79879842Y-131856871D01*
X79736985Y-131856871D01*
X79236985Y-131856871D02*
X79236985Y-130856871D01*
X79236985Y-130356871D02*
X79308414Y-130428300D01*
X79236985Y-130499729D01*
X79165557Y-130428300D01*
X79236985Y-130356871D01*
X79236985Y-130499729D01*
X78308413Y-131856871D02*
X78451271Y-131785443D01*
X78522699Y-131714014D01*
X78594128Y-131571157D01*
X78594128Y-131142586D01*
X78522699Y-130999729D01*
X78451271Y-130928300D01*
X78308413Y-130856871D01*
X78094128Y-130856871D01*
X77951271Y-130928300D01*
X77879842Y-130999729D01*
X77808413Y-131142586D01*
X77808413Y-131571157D01*
X77879842Y-131714014D01*
X77951271Y-131785443D01*
X78094128Y-131856871D01*
X78308413Y-131856871D01*
X77165556Y-130856871D02*
X77165556Y-131856871D01*
X77165556Y-130999729D02*
X77094128Y-130928300D01*
X76951270Y-130856871D01*
X76736985Y-130856871D01*
X76594128Y-130928300D01*
X76522699Y-131071157D01*
X76522699Y-131856871D01*
X84995057Y-128658157D02*
X84780771Y-128729586D01*
X84709343Y-128801014D01*
X84637914Y-128943871D01*
X84637914Y-129158157D01*
X84709343Y-129301014D01*
X84780771Y-129372443D01*
X84923629Y-129443871D01*
X85495057Y-129443871D01*
X85495057Y-127943871D01*
X84995057Y-127943871D01*
X84852200Y-128015300D01*
X84780771Y-128086729D01*
X84709343Y-128229586D01*
X84709343Y-128372443D01*
X84780771Y-128515300D01*
X84852200Y-128586729D01*
X84995057Y-128658157D01*
X85495057Y-128658157D01*
X83352200Y-129443871D02*
X83352200Y-128658157D01*
X83423629Y-128515300D01*
X83566486Y-128443871D01*
X83852200Y-128443871D01*
X83995057Y-128515300D01*
X83352200Y-129372443D02*
X83495057Y-129443871D01*
X83852200Y-129443871D01*
X83995057Y-129372443D01*
X84066486Y-129229586D01*
X84066486Y-129086729D01*
X83995057Y-128943871D01*
X83852200Y-128872443D01*
X83495057Y-128872443D01*
X83352200Y-128801014D01*
X81995057Y-129372443D02*
X82137914Y-129443871D01*
X82423628Y-129443871D01*
X82566486Y-129372443D01*
X82637914Y-129301014D01*
X82709343Y-129158157D01*
X82709343Y-128729586D01*
X82637914Y-128586729D01*
X82566486Y-128515300D01*
X82423628Y-128443871D01*
X82137914Y-128443871D01*
X81995057Y-128515300D01*
X81352200Y-129443871D02*
X81352200Y-127943871D01*
X81209343Y-128872443D02*
X80780772Y-129443871D01*
X80780772Y-128443871D02*
X81352200Y-129015300D01*
X79566486Y-129372443D02*
X79709343Y-129443871D01*
X79995057Y-129443871D01*
X80137914Y-129372443D01*
X80209343Y-129229586D01*
X80209343Y-128658157D01*
X80137914Y-128515300D01*
X79995057Y-128443871D01*
X79709343Y-128443871D01*
X79566486Y-128515300D01*
X79495057Y-128658157D01*
X79495057Y-128801014D01*
X80209343Y-128943871D01*
X78852200Y-129443871D02*
X78852200Y-128443871D01*
X78852200Y-128729586D02*
X78780772Y-128586729D01*
X78709343Y-128515300D01*
X78566486Y-128443871D01*
X78423629Y-128443871D01*
X77852201Y-127943871D02*
X77995058Y-128229586D01*
X77280772Y-129372443D02*
X77137915Y-129443871D01*
X76852200Y-129443871D01*
X76709343Y-129372443D01*
X76637915Y-129229586D01*
X76637915Y-129158157D01*
X76709343Y-129015300D01*
X76852200Y-128943871D01*
X77066486Y-128943871D01*
X77209343Y-128872443D01*
X77280772Y-128729586D01*
X77280772Y-128658157D01*
X77209343Y-128515300D01*
X77066486Y-128443871D01*
X76852200Y-128443871D01*
X76709343Y-128515300D01*
X96868843Y-126054657D02*
X96368843Y-126054657D01*
X96154557Y-126840371D02*
X96868843Y-126840371D01*
X96868843Y-125340371D01*
X96154557Y-125340371D01*
X95583129Y-126768943D02*
X95440272Y-126840371D01*
X95154557Y-126840371D01*
X95011700Y-126768943D01*
X94940272Y-126626086D01*
X94940272Y-126554657D01*
X95011700Y-126411800D01*
X95154557Y-126340371D01*
X95368843Y-126340371D01*
X95511700Y-126268943D01*
X95583129Y-126126086D01*
X95583129Y-126054657D01*
X95511700Y-125911800D01*
X95368843Y-125840371D01*
X95154557Y-125840371D01*
X95011700Y-125911800D01*
X94297414Y-125840371D02*
X94297414Y-127340371D01*
X94297414Y-125911800D02*
X94154557Y-125840371D01*
X93868843Y-125840371D01*
X93725986Y-125911800D01*
X93654557Y-125983229D01*
X93583128Y-126126086D01*
X93583128Y-126554657D01*
X93654557Y-126697514D01*
X93725986Y-126768943D01*
X93868843Y-126840371D01*
X94154557Y-126840371D01*
X94297414Y-126768943D01*
X92725985Y-126840371D02*
X92868843Y-126768943D01*
X92940271Y-126697514D01*
X93011700Y-126554657D01*
X93011700Y-126126086D01*
X92940271Y-125983229D01*
X92868843Y-125911800D01*
X92725985Y-125840371D01*
X92511700Y-125840371D01*
X92368843Y-125911800D01*
X92297414Y-125983229D01*
X92225985Y-126126086D01*
X92225985Y-126554657D01*
X92297414Y-126697514D01*
X92368843Y-126768943D01*
X92511700Y-126840371D01*
X92725985Y-126840371D01*
X91797414Y-125340371D02*
X90940271Y-125340371D01*
X91368842Y-126840371D02*
X91368842Y-125340371D01*
X89868843Y-126768943D02*
X90011700Y-126840371D01*
X90297414Y-126840371D01*
X90440271Y-126768943D01*
X90511700Y-126626086D01*
X90511700Y-126054657D01*
X90440271Y-125911800D01*
X90297414Y-125840371D01*
X90011700Y-125840371D01*
X89868843Y-125911800D01*
X89797414Y-126054657D01*
X89797414Y-126197514D01*
X90511700Y-126340371D01*
X89154557Y-126840371D02*
X89154557Y-125340371D01*
X89011700Y-126268943D02*
X88583129Y-126840371D01*
X88583129Y-125840371D02*
X89154557Y-126411800D01*
X86083128Y-126840371D02*
X86797414Y-126840371D01*
X86797414Y-125340371D01*
X84940271Y-126840371D02*
X84940271Y-126054657D01*
X85011700Y-125911800D01*
X85154557Y-125840371D01*
X85440271Y-125840371D01*
X85583128Y-125911800D01*
X84940271Y-126768943D02*
X85083128Y-126840371D01*
X85440271Y-126840371D01*
X85583128Y-126768943D01*
X85654557Y-126626086D01*
X85654557Y-126483229D01*
X85583128Y-126340371D01*
X85440271Y-126268943D01*
X85083128Y-126268943D01*
X84940271Y-126197514D01*
X84225985Y-126840371D02*
X84225985Y-125340371D01*
X84225985Y-125911800D02*
X84083128Y-125840371D01*
X83797414Y-125840371D01*
X83654557Y-125911800D01*
X83583128Y-125983229D01*
X83511699Y-126126086D01*
X83511699Y-126554657D01*
X83583128Y-126697514D01*
X83654557Y-126768943D01*
X83797414Y-126840371D01*
X84083128Y-126840371D01*
X84225985Y-126768943D01*
X82868842Y-126840371D02*
X82868842Y-125840371D01*
X82868842Y-126126086D02*
X82797414Y-125983229D01*
X82725985Y-125911800D01*
X82583128Y-125840371D01*
X82440271Y-125840371D01*
X81297414Y-126840371D02*
X81297414Y-126054657D01*
X81368843Y-125911800D01*
X81511700Y-125840371D01*
X81797414Y-125840371D01*
X81940271Y-125911800D01*
X81297414Y-126768943D02*
X81440271Y-126840371D01*
X81797414Y-126840371D01*
X81940271Y-126768943D01*
X82011700Y-126626086D01*
X82011700Y-126483229D01*
X81940271Y-126340371D01*
X81797414Y-126268943D01*
X81440271Y-126268943D01*
X81297414Y-126197514D01*
X79940271Y-126840371D02*
X79940271Y-125340371D01*
X79940271Y-126768943D02*
X80083128Y-126840371D01*
X80368842Y-126840371D01*
X80511700Y-126768943D01*
X80583128Y-126697514D01*
X80654557Y-126554657D01*
X80654557Y-126126086D01*
X80583128Y-125983229D01*
X80511700Y-125911800D01*
X80368842Y-125840371D01*
X80083128Y-125840371D01*
X79940271Y-125911800D01*
X79011699Y-126840371D02*
X79154557Y-126768943D01*
X79225985Y-126697514D01*
X79297414Y-126554657D01*
X79297414Y-126126086D01*
X79225985Y-125983229D01*
X79154557Y-125911800D01*
X79011699Y-125840371D01*
X78797414Y-125840371D01*
X78654557Y-125911800D01*
X78583128Y-125983229D01*
X78511699Y-126126086D01*
X78511699Y-126554657D01*
X78583128Y-126697514D01*
X78654557Y-126768943D01*
X78797414Y-126840371D01*
X79011699Y-126840371D01*
X77868842Y-126840371D02*
X77868842Y-125840371D01*
X77868842Y-126126086D02*
X77797414Y-125983229D01*
X77725985Y-125911800D01*
X77583128Y-125840371D01*
X77440271Y-125840371D01*
D13*
G36*
X93882956Y-103050215D02*
X94658703Y-103230806D01*
X95465333Y-103540380D01*
X96206901Y-103930122D01*
X96787461Y-104351218D01*
X97066854Y-104670113D01*
X97261997Y-105147664D01*
X97444505Y-105888604D01*
X97596590Y-106819939D01*
X97603192Y-106871095D01*
X97702993Y-108246578D01*
X97636267Y-109608830D01*
X97391348Y-111029524D01*
X96956573Y-112580332D01*
X96437992Y-114031109D01*
X96021802Y-115122505D01*
X95712463Y-115976875D01*
X95491627Y-116669454D01*
X95340946Y-117275478D01*
X95242071Y-117870180D01*
X95176653Y-118528795D01*
X95126346Y-119326557D01*
X95120572Y-119432992D01*
X95080255Y-120266776D01*
X95071332Y-120839410D01*
X95102252Y-121225383D01*
X95181462Y-121499185D01*
X95317412Y-121735307D01*
X95393753Y-121841552D01*
X95657127Y-122321549D01*
X95623731Y-122644990D01*
X95294586Y-122809241D01*
X95009692Y-122830867D01*
X94509362Y-122741918D01*
X94173352Y-122431295D01*
X94162465Y-122414900D01*
X93945835Y-121950388D01*
X93730952Y-121271078D01*
X93547298Y-120497448D01*
X93424355Y-119749980D01*
X93389540Y-119256364D01*
X93348310Y-118850796D01*
X93249197Y-118635903D01*
X93218841Y-118625569D01*
X93113643Y-118777273D01*
X93061596Y-119175620D01*
X93060195Y-119735468D01*
X93106936Y-120371673D01*
X93199313Y-120999091D01*
X93301271Y-121427054D01*
X93511870Y-121978257D01*
X93765256Y-122429861D01*
X93890013Y-122577032D01*
X94208914Y-123005298D01*
X94238428Y-123386942D01*
X94025522Y-123668381D01*
X93617161Y-123796032D01*
X93060311Y-123716310D01*
X92932381Y-123669611D01*
X92447906Y-123310693D01*
X91988977Y-122656294D01*
X91575428Y-121747310D01*
X91227096Y-120624635D01*
X91038568Y-119765197D01*
X90919662Y-119167530D01*
X90807417Y-118821068D01*
X90648528Y-118645980D01*
X90389694Y-118562435D01*
X90214825Y-118531496D01*
X89584906Y-118403680D01*
X88953783Y-118220166D01*
X88237129Y-117950927D01*
X87350616Y-117565935D01*
X86826788Y-117325061D01*
X85228775Y-116581227D01*
X84808245Y-117120925D01*
X84496287Y-117503105D01*
X84044310Y-118034927D01*
X83537692Y-118616274D01*
X83412433Y-118757697D01*
X82896992Y-119367983D01*
X82414190Y-119991785D01*
X82053804Y-120512031D01*
X82002823Y-120595895D01*
X81568496Y-121337020D01*
X82043420Y-121832734D01*
X82337913Y-122191732D01*
X82387437Y-122425451D01*
X82322999Y-122523796D01*
X82039037Y-122606495D01*
X81596774Y-122558125D01*
X81129881Y-122414752D01*
X80772028Y-122212442D01*
X80664723Y-122076378D01*
X80642623Y-121797264D01*
X80673757Y-121301736D01*
X80739263Y-120782152D01*
X80815598Y-120225852D01*
X80854253Y-119820055D01*
X80848541Y-119660593D01*
X80740683Y-119739443D01*
X80564641Y-120045657D01*
X80361155Y-120488296D01*
X80170963Y-120976421D01*
X80034805Y-121419093D01*
X80010037Y-121532342D01*
X79982741Y-122084669D01*
X80164765Y-122604347D01*
X80250257Y-122756968D01*
X80484734Y-123250294D01*
X80469567Y-123541915D01*
X80197333Y-123663601D01*
X80036429Y-123671927D01*
X79518025Y-123556617D01*
X79162696Y-123190642D01*
X78945513Y-122543936D01*
X78906102Y-122308741D01*
X78783631Y-121187375D01*
X78781340Y-120268559D01*
X78909528Y-119436867D01*
X79178495Y-118576878D01*
X79257252Y-118373251D01*
X79557399Y-117520692D01*
X79713760Y-116780506D01*
X79761680Y-115980300D01*
X79761888Y-115911803D01*
X79753743Y-115294482D01*
X79717798Y-114950811D01*
X79636784Y-114818182D01*
X79493436Y-114833987D01*
X79467517Y-114844435D01*
X78705984Y-115038062D01*
X77874750Y-115050461D01*
X77099830Y-114892290D01*
X76533787Y-114596336D01*
X76150792Y-114286204D01*
X76905015Y-114085505D01*
X78116949Y-113626210D01*
X79347151Y-112910123D01*
X80497119Y-111995961D01*
X80646192Y-111855430D01*
X81142511Y-111380749D01*
X81558673Y-111014468D01*
X81948106Y-110741411D01*
X82364243Y-110546402D01*
X82860515Y-110414263D01*
X83490352Y-110329818D01*
X84307186Y-110277889D01*
X85364448Y-110243301D01*
X86410466Y-110218124D01*
X90115336Y-110130867D01*
X91210430Y-109591424D01*
X91822580Y-109257937D01*
X92363000Y-108908114D01*
X92705743Y-108625968D01*
X92962049Y-108325270D01*
X92998331Y-108127561D01*
X92833746Y-107899246D01*
X92813218Y-107876478D01*
X92526006Y-107633668D01*
X92044162Y-107297534D01*
X91467558Y-106937384D01*
X91417762Y-106908110D01*
X90483228Y-106251160D01*
X89856413Y-105558574D01*
X89549129Y-104845106D01*
X89518179Y-104535547D01*
X89567072Y-104135966D01*
X89619573Y-104075238D01*
X92882418Y-104075238D01*
X92967768Y-104284590D01*
X93063245Y-104295315D01*
X93237036Y-104121656D01*
X93244073Y-104075238D01*
X93113117Y-103878004D01*
X93063245Y-103855161D01*
X92909863Y-103936360D01*
X92882418Y-104075238D01*
X89619573Y-104075238D01*
X89752891Y-103921029D01*
X90134346Y-103865412D01*
X90770150Y-103943792D01*
X90855026Y-103958941D01*
X91372731Y-104037885D01*
X91719304Y-104010865D01*
X92041937Y-103837803D01*
X92424467Y-103531598D01*
X92866285Y-103189929D01*
X93209055Y-103034234D01*
X93596129Y-103017198D01*
X93882956Y-103050215D01*
X93882956Y-103050215D01*
G37*
X93882956Y-103050215D02*
X94658703Y-103230806D01*
X95465333Y-103540380D01*
X96206901Y-103930122D01*
X96787461Y-104351218D01*
X97066854Y-104670113D01*
X97261997Y-105147664D01*
X97444505Y-105888604D01*
X97596590Y-106819939D01*
X97603192Y-106871095D01*
X97702993Y-108246578D01*
X97636267Y-109608830D01*
X97391348Y-111029524D01*
X96956573Y-112580332D01*
X96437992Y-114031109D01*
X96021802Y-115122505D01*
X95712463Y-115976875D01*
X95491627Y-116669454D01*
X95340946Y-117275478D01*
X95242071Y-117870180D01*
X95176653Y-118528795D01*
X95126346Y-119326557D01*
X95120572Y-119432992D01*
X95080255Y-120266776D01*
X95071332Y-120839410D01*
X95102252Y-121225383D01*
X95181462Y-121499185D01*
X95317412Y-121735307D01*
X95393753Y-121841552D01*
X95657127Y-122321549D01*
X95623731Y-122644990D01*
X95294586Y-122809241D01*
X95009692Y-122830867D01*
X94509362Y-122741918D01*
X94173352Y-122431295D01*
X94162465Y-122414900D01*
X93945835Y-121950388D01*
X93730952Y-121271078D01*
X93547298Y-120497448D01*
X93424355Y-119749980D01*
X93389540Y-119256364D01*
X93348310Y-118850796D01*
X93249197Y-118635903D01*
X93218841Y-118625569D01*
X93113643Y-118777273D01*
X93061596Y-119175620D01*
X93060195Y-119735468D01*
X93106936Y-120371673D01*
X93199313Y-120999091D01*
X93301271Y-121427054D01*
X93511870Y-121978257D01*
X93765256Y-122429861D01*
X93890013Y-122577032D01*
X94208914Y-123005298D01*
X94238428Y-123386942D01*
X94025522Y-123668381D01*
X93617161Y-123796032D01*
X93060311Y-123716310D01*
X92932381Y-123669611D01*
X92447906Y-123310693D01*
X91988977Y-122656294D01*
X91575428Y-121747310D01*
X91227096Y-120624635D01*
X91038568Y-119765197D01*
X90919662Y-119167530D01*
X90807417Y-118821068D01*
X90648528Y-118645980D01*
X90389694Y-118562435D01*
X90214825Y-118531496D01*
X89584906Y-118403680D01*
X88953783Y-118220166D01*
X88237129Y-117950927D01*
X87350616Y-117565935D01*
X86826788Y-117325061D01*
X85228775Y-116581227D01*
X84808245Y-117120925D01*
X84496287Y-117503105D01*
X84044310Y-118034927D01*
X83537692Y-118616274D01*
X83412433Y-118757697D01*
X82896992Y-119367983D01*
X82414190Y-119991785D01*
X82053804Y-120512031D01*
X82002823Y-120595895D01*
X81568496Y-121337020D01*
X82043420Y-121832734D01*
X82337913Y-122191732D01*
X82387437Y-122425451D01*
X82322999Y-122523796D01*
X82039037Y-122606495D01*
X81596774Y-122558125D01*
X81129881Y-122414752D01*
X80772028Y-122212442D01*
X80664723Y-122076378D01*
X80642623Y-121797264D01*
X80673757Y-121301736D01*
X80739263Y-120782152D01*
X80815598Y-120225852D01*
X80854253Y-119820055D01*
X80848541Y-119660593D01*
X80740683Y-119739443D01*
X80564641Y-120045657D01*
X80361155Y-120488296D01*
X80170963Y-120976421D01*
X80034805Y-121419093D01*
X80010037Y-121532342D01*
X79982741Y-122084669D01*
X80164765Y-122604347D01*
X80250257Y-122756968D01*
X80484734Y-123250294D01*
X80469567Y-123541915D01*
X80197333Y-123663601D01*
X80036429Y-123671927D01*
X79518025Y-123556617D01*
X79162696Y-123190642D01*
X78945513Y-122543936D01*
X78906102Y-122308741D01*
X78783631Y-121187375D01*
X78781340Y-120268559D01*
X78909528Y-119436867D01*
X79178495Y-118576878D01*
X79257252Y-118373251D01*
X79557399Y-117520692D01*
X79713760Y-116780506D01*
X79761680Y-115980300D01*
X79761888Y-115911803D01*
X79753743Y-115294482D01*
X79717798Y-114950811D01*
X79636784Y-114818182D01*
X79493436Y-114833987D01*
X79467517Y-114844435D01*
X78705984Y-115038062D01*
X77874750Y-115050461D01*
X77099830Y-114892290D01*
X76533787Y-114596336D01*
X76150792Y-114286204D01*
X76905015Y-114085505D01*
X78116949Y-113626210D01*
X79347151Y-112910123D01*
X80497119Y-111995961D01*
X80646192Y-111855430D01*
X81142511Y-111380749D01*
X81558673Y-111014468D01*
X81948106Y-110741411D01*
X82364243Y-110546402D01*
X82860515Y-110414263D01*
X83490352Y-110329818D01*
X84307186Y-110277889D01*
X85364448Y-110243301D01*
X86410466Y-110218124D01*
X90115336Y-110130867D01*
X91210430Y-109591424D01*
X91822580Y-109257937D01*
X92363000Y-108908114D01*
X92705743Y-108625968D01*
X92962049Y-108325270D01*
X92998331Y-108127561D01*
X92833746Y-107899246D01*
X92813218Y-107876478D01*
X92526006Y-107633668D01*
X92044162Y-107297534D01*
X91467558Y-106937384D01*
X91417762Y-106908110D01*
X90483228Y-106251160D01*
X89856413Y-105558574D01*
X89549129Y-104845106D01*
X89518179Y-104535547D01*
X89567072Y-104135966D01*
X89619573Y-104075238D01*
X92882418Y-104075238D01*
X92967768Y-104284590D01*
X93063245Y-104295315D01*
X93237036Y-104121656D01*
X93244073Y-104075238D01*
X93113117Y-103878004D01*
X93063245Y-103855161D01*
X92909863Y-103936360D01*
X92882418Y-104075238D01*
X89619573Y-104075238D01*
X89752891Y-103921029D01*
X90134346Y-103865412D01*
X90770150Y-103943792D01*
X90855026Y-103958941D01*
X91372731Y-104037885D01*
X91719304Y-104010865D01*
X92041937Y-103837803D01*
X92424467Y-103531598D01*
X92866285Y-103189929D01*
X93209055Y-103034234D01*
X93596129Y-103017198D01*
X93882956Y-103050215D01*
M02*

View File

@ -0,0 +1,20 @@
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 4.0.4-stable) date 04/18/17 12:51:57*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.100000*%
G04 APERTURE END LIST*
D10*
X69494400Y-99212400D02*
X106299000Y-99212400D01*
X69494400Y-133934200D02*
X69494400Y-99212400D01*
X106273600Y-133934200D02*
X69545200Y-133934200D01*
X106273600Y-99288600D02*
X106273600Y-133934200D01*
M02*

View File

@ -0,0 +1,18 @@
G04 #@! TF.FileFunction,Glue,Top*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 4.0.4-stable) date 04/18/17 12:51:57*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.100000*%
%ADD11C,0.381000*%
G04 APERTURE END LIST*
D10*
D11*
X78819991Y-101727000D02*
G75*
G03X78819991Y-101727000I-206991J0D01*
G01*
M02*

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,670 @@
G04 #@! TF.FileFunction,Legend,Top*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 4.0.4-stable) date 04/18/17 12:51:57*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.100000*%
%ADD11C,0.010000*%
G04 APERTURE END LIST*
D10*
D11*
G36*
X101782501Y-129819601D02*
X101928923Y-129853688D01*
X102081175Y-129912120D01*
X102221146Y-129985684D01*
X102330726Y-130065166D01*
X102383462Y-130125357D01*
X102420295Y-130215495D01*
X102454743Y-130355347D01*
X102483450Y-130531137D01*
X102484696Y-130540793D01*
X102503533Y-130800415D01*
X102490938Y-131057540D01*
X102444710Y-131325696D01*
X102362646Y-131618411D01*
X102264764Y-131892245D01*
X102186208Y-132098246D01*
X102127821Y-132259508D01*
X102086138Y-132390233D01*
X102057697Y-132504620D01*
X102039034Y-132616870D01*
X102026687Y-132741183D01*
X102017191Y-132891761D01*
X102016101Y-132911850D01*
X102008491Y-133069227D01*
X102006807Y-133177312D01*
X102012643Y-133250164D01*
X102027594Y-133301845D01*
X102053255Y-133346413D01*
X102067664Y-133366466D01*
X102117376Y-133457066D01*
X102111072Y-133518115D01*
X102048946Y-133549118D01*
X101995173Y-133553200D01*
X101900735Y-133536410D01*
X101837313Y-133477780D01*
X101835258Y-133474686D01*
X101794370Y-133387009D01*
X101753810Y-133258789D01*
X101719146Y-133112767D01*
X101695940Y-132971682D01*
X101689369Y-132878512D01*
X101681587Y-132801961D01*
X101662879Y-132761400D01*
X101657150Y-132759450D01*
X101637293Y-132788084D01*
X101627469Y-132863272D01*
X101627205Y-132968943D01*
X101636027Y-133089027D01*
X101653463Y-133207452D01*
X101672708Y-133288230D01*
X101712459Y-133392269D01*
X101760285Y-133477510D01*
X101783833Y-133505288D01*
X101844026Y-133586123D01*
X101849597Y-133658159D01*
X101809411Y-133711280D01*
X101732332Y-133735374D01*
X101627227Y-133720327D01*
X101603080Y-133711512D01*
X101511636Y-133643767D01*
X101425013Y-133520249D01*
X101346955Y-133348678D01*
X101281208Y-133136773D01*
X101245623Y-132974554D01*
X101223179Y-132861745D01*
X101201993Y-132796350D01*
X101172003Y-132763302D01*
X101123148Y-132747533D01*
X101090141Y-132741693D01*
X100971244Y-132717568D01*
X100852120Y-132682930D01*
X100716851Y-132632111D01*
X100549522Y-132559444D01*
X100450650Y-132513979D01*
X100149025Y-132373580D01*
X100069650Y-132475448D01*
X100010767Y-132547584D01*
X99925457Y-132647966D01*
X99829832Y-132757695D01*
X99806190Y-132784389D01*
X99708900Y-132899580D01*
X99617772Y-133017323D01*
X99549749Y-133115519D01*
X99540126Y-133131349D01*
X99458147Y-133271236D01*
X99547789Y-133364802D01*
X99603374Y-133432563D01*
X99612722Y-133476677D01*
X99600559Y-133495240D01*
X99546961Y-133510849D01*
X99463484Y-133501719D01*
X99375358Y-133474658D01*
X99307813Y-133436472D01*
X99287560Y-133410790D01*
X99283388Y-133358107D01*
X99289265Y-133264576D01*
X99301629Y-133166504D01*
X99316037Y-133061503D01*
X99323333Y-132984909D01*
X99322255Y-132954810D01*
X99301897Y-132969693D01*
X99268669Y-133027491D01*
X99230261Y-133111039D01*
X99194362Y-133203173D01*
X99168663Y-133286727D01*
X99163988Y-133308103D01*
X99158835Y-133412355D01*
X99193193Y-133510444D01*
X99209329Y-133539251D01*
X99253587Y-133632366D01*
X99250724Y-133687410D01*
X99199340Y-133710378D01*
X99168969Y-133711950D01*
X99071120Y-133690185D01*
X99004052Y-133621107D01*
X98963059Y-133499041D01*
X98955620Y-133454648D01*
X98932504Y-133242990D01*
X98932071Y-133069564D01*
X98956267Y-132912582D01*
X99007034Y-132750259D01*
X99021899Y-132711825D01*
X99078552Y-132550904D01*
X99108065Y-132411194D01*
X99117110Y-132260155D01*
X99117150Y-132247226D01*
X99115612Y-132130707D01*
X99108827Y-132065839D01*
X99093536Y-132040805D01*
X99066479Y-132043788D01*
X99061587Y-132045761D01*
X98917848Y-132082308D01*
X98760952Y-132084648D01*
X98614686Y-132054793D01*
X98507846Y-131998932D01*
X98435556Y-131940394D01*
X98577915Y-131902512D01*
X98806667Y-131815820D01*
X99038868Y-131680659D01*
X99255924Y-131508111D01*
X99284062Y-131481586D01*
X99377742Y-131391990D01*
X99456293Y-131322854D01*
X99529798Y-131271315D01*
X99608344Y-131234507D01*
X99702015Y-131209565D01*
X99820897Y-131193626D01*
X99975075Y-131183825D01*
X100174633Y-131177296D01*
X100372069Y-131172544D01*
X101071363Y-131156075D01*
X101278062Y-131054255D01*
X101393605Y-130991309D01*
X101495609Y-130925280D01*
X101560302Y-130872025D01*
X101608680Y-130815268D01*
X101615528Y-130777951D01*
X101584463Y-130734856D01*
X101580588Y-130730559D01*
X101526377Y-130684728D01*
X101435429Y-130621283D01*
X101326595Y-130553305D01*
X101317196Y-130547779D01*
X101140802Y-130423780D01*
X101022491Y-130293054D01*
X100964491Y-130158387D01*
X100958650Y-130099958D01*
X100967878Y-130024537D01*
X100977787Y-130013075D01*
X101593650Y-130013075D01*
X101609759Y-130052590D01*
X101627781Y-130054614D01*
X101660584Y-130021836D01*
X101661912Y-130013075D01*
X101637194Y-129975847D01*
X101627781Y-129971535D01*
X101598830Y-129986861D01*
X101593650Y-130013075D01*
X100977787Y-130013075D01*
X101002951Y-129983968D01*
X101074951Y-129973470D01*
X101194959Y-129988264D01*
X101210979Y-129991124D01*
X101308696Y-130006024D01*
X101374112Y-130000924D01*
X101435009Y-129968259D01*
X101507211Y-129910463D01*
X101590604Y-129845972D01*
X101655302Y-129816585D01*
X101728363Y-129813369D01*
X101782501Y-129819601D01*
X101782501Y-129819601D01*
G37*
X101782501Y-129819601D02*
X101928923Y-129853688D01*
X102081175Y-129912120D01*
X102221146Y-129985684D01*
X102330726Y-130065166D01*
X102383462Y-130125357D01*
X102420295Y-130215495D01*
X102454743Y-130355347D01*
X102483450Y-130531137D01*
X102484696Y-130540793D01*
X102503533Y-130800415D01*
X102490938Y-131057540D01*
X102444710Y-131325696D01*
X102362646Y-131618411D01*
X102264764Y-131892245D01*
X102186208Y-132098246D01*
X102127821Y-132259508D01*
X102086138Y-132390233D01*
X102057697Y-132504620D01*
X102039034Y-132616870D01*
X102026687Y-132741183D01*
X102017191Y-132891761D01*
X102016101Y-132911850D01*
X102008491Y-133069227D01*
X102006807Y-133177312D01*
X102012643Y-133250164D01*
X102027594Y-133301845D01*
X102053255Y-133346413D01*
X102067664Y-133366466D01*
X102117376Y-133457066D01*
X102111072Y-133518115D01*
X102048946Y-133549118D01*
X101995173Y-133553200D01*
X101900735Y-133536410D01*
X101837313Y-133477780D01*
X101835258Y-133474686D01*
X101794370Y-133387009D01*
X101753810Y-133258789D01*
X101719146Y-133112767D01*
X101695940Y-132971682D01*
X101689369Y-132878512D01*
X101681587Y-132801961D01*
X101662879Y-132761400D01*
X101657150Y-132759450D01*
X101637293Y-132788084D01*
X101627469Y-132863272D01*
X101627205Y-132968943D01*
X101636027Y-133089027D01*
X101653463Y-133207452D01*
X101672708Y-133288230D01*
X101712459Y-133392269D01*
X101760285Y-133477510D01*
X101783833Y-133505288D01*
X101844026Y-133586123D01*
X101849597Y-133658159D01*
X101809411Y-133711280D01*
X101732332Y-133735374D01*
X101627227Y-133720327D01*
X101603080Y-133711512D01*
X101511636Y-133643767D01*
X101425013Y-133520249D01*
X101346955Y-133348678D01*
X101281208Y-133136773D01*
X101245623Y-132974554D01*
X101223179Y-132861745D01*
X101201993Y-132796350D01*
X101172003Y-132763302D01*
X101123148Y-132747533D01*
X101090141Y-132741693D01*
X100971244Y-132717568D01*
X100852120Y-132682930D01*
X100716851Y-132632111D01*
X100549522Y-132559444D01*
X100450650Y-132513979D01*
X100149025Y-132373580D01*
X100069650Y-132475448D01*
X100010767Y-132547584D01*
X99925457Y-132647966D01*
X99829832Y-132757695D01*
X99806190Y-132784389D01*
X99708900Y-132899580D01*
X99617772Y-133017323D01*
X99549749Y-133115519D01*
X99540126Y-133131349D01*
X99458147Y-133271236D01*
X99547789Y-133364802D01*
X99603374Y-133432563D01*
X99612722Y-133476677D01*
X99600559Y-133495240D01*
X99546961Y-133510849D01*
X99463484Y-133501719D01*
X99375358Y-133474658D01*
X99307813Y-133436472D01*
X99287560Y-133410790D01*
X99283388Y-133358107D01*
X99289265Y-133264576D01*
X99301629Y-133166504D01*
X99316037Y-133061503D01*
X99323333Y-132984909D01*
X99322255Y-132954810D01*
X99301897Y-132969693D01*
X99268669Y-133027491D01*
X99230261Y-133111039D01*
X99194362Y-133203173D01*
X99168663Y-133286727D01*
X99163988Y-133308103D01*
X99158835Y-133412355D01*
X99193193Y-133510444D01*
X99209329Y-133539251D01*
X99253587Y-133632366D01*
X99250724Y-133687410D01*
X99199340Y-133710378D01*
X99168969Y-133711950D01*
X99071120Y-133690185D01*
X99004052Y-133621107D01*
X98963059Y-133499041D01*
X98955620Y-133454648D01*
X98932504Y-133242990D01*
X98932071Y-133069564D01*
X98956267Y-132912582D01*
X99007034Y-132750259D01*
X99021899Y-132711825D01*
X99078552Y-132550904D01*
X99108065Y-132411194D01*
X99117110Y-132260155D01*
X99117150Y-132247226D01*
X99115612Y-132130707D01*
X99108827Y-132065839D01*
X99093536Y-132040805D01*
X99066479Y-132043788D01*
X99061587Y-132045761D01*
X98917848Y-132082308D01*
X98760952Y-132084648D01*
X98614686Y-132054793D01*
X98507846Y-131998932D01*
X98435556Y-131940394D01*
X98577915Y-131902512D01*
X98806667Y-131815820D01*
X99038868Y-131680659D01*
X99255924Y-131508111D01*
X99284062Y-131481586D01*
X99377742Y-131391990D01*
X99456293Y-131322854D01*
X99529798Y-131271315D01*
X99608344Y-131234507D01*
X99702015Y-131209565D01*
X99820897Y-131193626D01*
X99975075Y-131183825D01*
X100174633Y-131177296D01*
X100372069Y-131172544D01*
X101071363Y-131156075D01*
X101278062Y-131054255D01*
X101393605Y-130991309D01*
X101495609Y-130925280D01*
X101560302Y-130872025D01*
X101608680Y-130815268D01*
X101615528Y-130777951D01*
X101584463Y-130734856D01*
X101580588Y-130730559D01*
X101526377Y-130684728D01*
X101435429Y-130621283D01*
X101326595Y-130553305D01*
X101317196Y-130547779D01*
X101140802Y-130423780D01*
X101022491Y-130293054D01*
X100964491Y-130158387D01*
X100958650Y-130099958D01*
X100967878Y-130024537D01*
X100977787Y-130013075D01*
X101593650Y-130013075D01*
X101609759Y-130052590D01*
X101627781Y-130054614D01*
X101660584Y-130021836D01*
X101661912Y-130013075D01*
X101637194Y-129975847D01*
X101627781Y-129971535D01*
X101598830Y-129986861D01*
X101593650Y-130013075D01*
X100977787Y-130013075D01*
X101002951Y-129983968D01*
X101074951Y-129973470D01*
X101194959Y-129988264D01*
X101210979Y-129991124D01*
X101308696Y-130006024D01*
X101374112Y-130000924D01*
X101435009Y-129968259D01*
X101507211Y-129910463D01*
X101590604Y-129845972D01*
X101655302Y-129816585D01*
X101728363Y-129813369D01*
X101782501Y-129819601D01*
G36*
X100787282Y-126063168D02*
X100877843Y-126086781D01*
X100929756Y-126153101D01*
X100966290Y-126284188D01*
X100989102Y-126396082D01*
X101062139Y-126548423D01*
X101147685Y-126611982D01*
X101259054Y-126655891D01*
X101346767Y-126659760D01*
X101447646Y-126616974D01*
X101577660Y-126534745D01*
X101781741Y-126399690D01*
X101957570Y-126570110D01*
X102079954Y-126703522D01*
X102123457Y-126809810D01*
X102091295Y-126921703D01*
X102004586Y-127048662D01*
X101925491Y-127160435D01*
X101903475Y-127240128D01*
X101932637Y-127335388D01*
X101961422Y-127397156D01*
X102026659Y-127509443D01*
X102108054Y-127570089D01*
X102243261Y-127603304D01*
X102293436Y-127610650D01*
X102539800Y-127644533D01*
X102539800Y-128235066D01*
X102291966Y-128269151D01*
X102138864Y-128297234D01*
X102051600Y-128343531D01*
X101994623Y-128432763D01*
X101969435Y-128493398D01*
X101925877Y-128622026D01*
X101930476Y-128708162D01*
X101989808Y-128802010D01*
X102013817Y-128832379D01*
X102105645Y-128971728D01*
X102120685Y-129086423D01*
X102055526Y-129205418D01*
X101957570Y-129309489D01*
X101781741Y-129479909D01*
X101575679Y-129343544D01*
X101434167Y-129261317D01*
X101337426Y-129239306D01*
X101271991Y-129259426D01*
X101222910Y-129285048D01*
X101186093Y-129289081D01*
X101150763Y-129256696D01*
X101106142Y-129173062D01*
X101041451Y-129023350D01*
X100963319Y-128834722D01*
X100883960Y-128640423D01*
X100840645Y-128517598D01*
X100830472Y-128443881D01*
X100850538Y-128396907D01*
X100897940Y-128354312D01*
X100900692Y-128352122D01*
X101046420Y-128184151D01*
X101112275Y-127990588D01*
X101095284Y-127796157D01*
X100992476Y-127625581D01*
X100988503Y-127621558D01*
X100798216Y-127488566D01*
X100599906Y-127455289D01*
X100403579Y-127521453D01*
X100258678Y-127641466D01*
X100168692Y-127806001D01*
X100159923Y-127997732D01*
X100228076Y-128188985D01*
X100368859Y-128352084D01*
X100368907Y-128352122D01*
X100417481Y-128395049D01*
X100438850Y-128441340D01*
X100430111Y-128513359D01*
X100388362Y-128633473D01*
X100310701Y-128824045D01*
X100306280Y-128834722D01*
X100216274Y-129051724D01*
X100155417Y-129190126D01*
X100112899Y-129264739D01*
X100077909Y-129290377D01*
X100039636Y-129281851D01*
X99996680Y-129258930D01*
X99911992Y-129237787D01*
X99809155Y-129272526D01*
X99708571Y-129334993D01*
X99588582Y-129412525D01*
X99506165Y-129458427D01*
X99489972Y-129463800D01*
X99440398Y-129430523D01*
X99348406Y-129346330D01*
X99298565Y-129296281D01*
X99181725Y-129157386D01*
X99145067Y-129045591D01*
X99185698Y-128929510D01*
X99255782Y-128832379D01*
X99329740Y-128728821D01*
X99347332Y-128645457D01*
X99315132Y-128532081D01*
X99300164Y-128493398D01*
X99245219Y-128376831D01*
X99175960Y-128314303D01*
X99056834Y-128281095D01*
X98977633Y-128269151D01*
X98729799Y-128235066D01*
X98729800Y-127939800D01*
X98729800Y-127644533D01*
X98976163Y-127610650D01*
X99132172Y-127580670D01*
X99224287Y-127529744D01*
X99290159Y-127433660D01*
X99308177Y-127397156D01*
X99358780Y-127278896D01*
X99360905Y-127197995D01*
X99308651Y-127106808D01*
X99265013Y-127048662D01*
X99169812Y-126905391D01*
X99147486Y-126796120D01*
X99201252Y-126688121D01*
X99312029Y-126570110D01*
X99487858Y-126399690D01*
X99691939Y-126534745D01*
X99835263Y-126624405D01*
X99932787Y-126661548D01*
X100021336Y-126652790D01*
X100121914Y-126611982D01*
X100238774Y-126505791D01*
X100280497Y-126396082D01*
X100318668Y-126218310D01*
X100358979Y-126117854D01*
X100424702Y-126072653D01*
X100539109Y-126060647D01*
X100634799Y-126060200D01*
X100787282Y-126063168D01*
X100787282Y-126063168D01*
G37*
X100787282Y-126063168D02*
X100877843Y-126086781D01*
X100929756Y-126153101D01*
X100966290Y-126284188D01*
X100989102Y-126396082D01*
X101062139Y-126548423D01*
X101147685Y-126611982D01*
X101259054Y-126655891D01*
X101346767Y-126659760D01*
X101447646Y-126616974D01*
X101577660Y-126534745D01*
X101781741Y-126399690D01*
X101957570Y-126570110D01*
X102079954Y-126703522D01*
X102123457Y-126809810D01*
X102091295Y-126921703D01*
X102004586Y-127048662D01*
X101925491Y-127160435D01*
X101903475Y-127240128D01*
X101932637Y-127335388D01*
X101961422Y-127397156D01*
X102026659Y-127509443D01*
X102108054Y-127570089D01*
X102243261Y-127603304D01*
X102293436Y-127610650D01*
X102539800Y-127644533D01*
X102539800Y-128235066D01*
X102291966Y-128269151D01*
X102138864Y-128297234D01*
X102051600Y-128343531D01*
X101994623Y-128432763D01*
X101969435Y-128493398D01*
X101925877Y-128622026D01*
X101930476Y-128708162D01*
X101989808Y-128802010D01*
X102013817Y-128832379D01*
X102105645Y-128971728D01*
X102120685Y-129086423D01*
X102055526Y-129205418D01*
X101957570Y-129309489D01*
X101781741Y-129479909D01*
X101575679Y-129343544D01*
X101434167Y-129261317D01*
X101337426Y-129239306D01*
X101271991Y-129259426D01*
X101222910Y-129285048D01*
X101186093Y-129289081D01*
X101150763Y-129256696D01*
X101106142Y-129173062D01*
X101041451Y-129023350D01*
X100963319Y-128834722D01*
X100883960Y-128640423D01*
X100840645Y-128517598D01*
X100830472Y-128443881D01*
X100850538Y-128396907D01*
X100897940Y-128354312D01*
X100900692Y-128352122D01*
X101046420Y-128184151D01*
X101112275Y-127990588D01*
X101095284Y-127796157D01*
X100992476Y-127625581D01*
X100988503Y-127621558D01*
X100798216Y-127488566D01*
X100599906Y-127455289D01*
X100403579Y-127521453D01*
X100258678Y-127641466D01*
X100168692Y-127806001D01*
X100159923Y-127997732D01*
X100228076Y-128188985D01*
X100368859Y-128352084D01*
X100368907Y-128352122D01*
X100417481Y-128395049D01*
X100438850Y-128441340D01*
X100430111Y-128513359D01*
X100388362Y-128633473D01*
X100310701Y-128824045D01*
X100306280Y-128834722D01*
X100216274Y-129051724D01*
X100155417Y-129190126D01*
X100112899Y-129264739D01*
X100077909Y-129290377D01*
X100039636Y-129281851D01*
X99996680Y-129258930D01*
X99911992Y-129237787D01*
X99809155Y-129272526D01*
X99708571Y-129334993D01*
X99588582Y-129412525D01*
X99506165Y-129458427D01*
X99489972Y-129463800D01*
X99440398Y-129430523D01*
X99348406Y-129346330D01*
X99298565Y-129296281D01*
X99181725Y-129157386D01*
X99145067Y-129045591D01*
X99185698Y-128929510D01*
X99255782Y-128832379D01*
X99329740Y-128728821D01*
X99347332Y-128645457D01*
X99315132Y-128532081D01*
X99300164Y-128493398D01*
X99245219Y-128376831D01*
X99175960Y-128314303D01*
X99056834Y-128281095D01*
X98977633Y-128269151D01*
X98729799Y-128235066D01*
X98729800Y-127939800D01*
X98729800Y-127644533D01*
X98976163Y-127610650D01*
X99132172Y-127580670D01*
X99224287Y-127529744D01*
X99290159Y-127433660D01*
X99308177Y-127397156D01*
X99358780Y-127278896D01*
X99360905Y-127197995D01*
X99308651Y-127106808D01*
X99265013Y-127048662D01*
X99169812Y-126905391D01*
X99147486Y-126796120D01*
X99201252Y-126688121D01*
X99312029Y-126570110D01*
X99487858Y-126399690D01*
X99691939Y-126534745D01*
X99835263Y-126624405D01*
X99932787Y-126661548D01*
X100021336Y-126652790D01*
X100121914Y-126611982D01*
X100238774Y-126505791D01*
X100280497Y-126396082D01*
X100318668Y-126218310D01*
X100358979Y-126117854D01*
X100424702Y-126072653D01*
X100539109Y-126060647D01*
X100634799Y-126060200D01*
X100787282Y-126063168D01*
M02*

View File

@ -0,0 +1,131 @@
M48
;DRILL file {KiCad 4.0.4-stable} date 04/18/17 12:52:01
;FORMAT={-:-/ absolute / inch / decimal}
FMAT,2
INCH,TZ
T1C0.016
T2C0.022
T3C0.028
T4C0.040
%
G90
G05
M72
T1
X2.854Y-4.442
X2.973Y-4.31
X2.98Y-4.5475
X2.9825Y-4.6475
X2.9825Y-4.73
X3.005Y-5.158
X3.025Y-4.8275
X3.032Y-5.014
X3.05Y-4.12
X3.055Y-4.937
X3.079Y-5.17
X3.0875Y-5.015
X3.1175Y-5.1025
X3.119Y-5.03
X3.145Y-4.875
X3.1475Y-4.49
X3.15Y-4.775
X3.152Y-4.816
X3.161Y-4.976
X3.198Y-5.247
X3.21Y-4.255
X3.226Y-4.804
X3.25Y-5.0075
X3.253Y-4.182
X3.26Y-4.846
X3.267Y-4.748
X3.295Y-4.39
X3.304Y-5.114
X3.304Y-5.198
X3.376Y-5.156
X3.39Y-4.915
X3.418Y-5.071
X3.426Y-4.491
X3.43Y-4.97
X3.498Y-5.014
X3.51Y-4.75
X3.5175Y-4.79
X3.5175Y-4.88
X3.5225Y-4.6125
X3.554Y-4.797
X3.5675Y-4.87
X3.621Y-5.014
X3.635Y-4.8825
X3.6575Y-4.5925
X3.678Y-4.252
X3.6875Y-4.9225
X3.694Y-4.829
X3.721Y-4.871
X3.733Y-4.162
X3.748Y-4.82
X3.753Y-5.175
X3.76Y-4.29
X3.7625Y-4.4325
X3.7725Y-4.58
X3.775Y-4.917
X3.7775Y-4.7475
X3.782Y-5.219
X3.7825Y-4.785
X3.785Y-4.6275
X3.814Y-4.851
X3.8175Y-4.6575
X3.864Y-4.81
X3.864Y-5.174
X3.865Y-4.778
X3.8705Y-5.252
X3.885Y-4.535
X3.9025Y-4.42
X3.978Y-4.334
X4.047Y-4.413
X4.067Y-4.081
X4.078Y-4.15
X4.123Y-4.851
T4
X2.798Y-3.9995
X2.798Y-4.0995
X2.798Y-4.1995
X2.8Y-4.3
X2.8Y-4.4
X2.8Y-4.5
X2.8Y-4.6
X2.8Y-4.7
X2.8Y-4.8
X2.8Y-4.9
X2.8Y-5.
X2.8Y-5.1
X2.8Y-5.2
X2.9Y-4.
X2.9Y-4.1
X2.9Y-4.2
X2.9Y-4.3
X2.9Y-4.4
X3.05Y-4.35
X3.15Y-4.35
X3.3775Y-5.2175
X3.4775Y-5.0925
X3.4775Y-5.2175
X3.5775Y-5.0925
X3.5775Y-5.2175
X3.6775Y-5.0925
X3.6775Y-5.2175
X3.7775Y-5.0925
X4.113Y-5.103
X4.113Y-5.203
X4.118Y-4.543
X4.118Y-4.643
T2
X4.021Y-3.993G85X4.021Y-3.9753
G05
X4.021Y-4.1898G85X4.021Y-4.1721
G05
T3
X4.1361Y-3.9447G85X4.1184Y-3.9447
G05
X4.1361Y-4.2203G85X4.1184Y-4.2203
G05
T0
M30

View File

@ -0,0 +1,33 @@
(module SMALL_INDUCTOR (layer F.Cu) (tedit 58F57C0A)
(descr "SELF- WE-PD-XXL")
(attr smd)
(fp_text reference L1 (at -0.0127 -0.2032 90) (layer Dwgs.User)
(effects (font (size 0.6 0.6) (thickness 0.1)))
)
(fp_text value INDUCTOR (at 1.80086 0 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 0) (end 0 -5.00126) (layer Dwgs.User) (width 0.15))
(fp_line (start -5.99948 0) (end -5.99948 -5.00126) (layer Dwgs.User) (width 0.15))
(fp_line (start -5.99948 -5.00126) (end -5.00126 -5.99948) (layer Dwgs.User) (width 0.15))
(fp_line (start -5.00126 -5.99948) (end 5.00126 -5.99948) (layer Dwgs.User) (width 0.15))
(fp_line (start 5.00126 -5.99948) (end 5.99948 -5.00126) (layer Dwgs.User) (width 0.15))
(fp_line (start 5.99948 -5.00126) (end 5.99948 5.00126) (layer Dwgs.User) (width 0.15))
(fp_line (start 5.99948 5.00126) (end 5.00126 5.99948) (layer Dwgs.User) (width 0.15))
(fp_line (start 5.00126 5.99948) (end -5.00126 5.99948) (layer Dwgs.User) (width 0.15))
(fp_line (start -5.00126 5.99948) (end -5.99948 5.00126) (layer Dwgs.User) (width 0.15))
(fp_line (start -5.99948 5.00126) (end -5.99948 0) (layer Dwgs.User) (width 0.15))
(fp_text user "" (at 0 0) (layer Dwgs.User)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user "" (at 0 0) (layer Dwgs.User)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 1 smd rect (at -5.00126 0) (size 2.90068 5.40004) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at 0.08 0) (size 2.9 5.40004) (layers F.Cu F.Paste F.Mask))
(model Inductors.3dshapes/SELF-WE-PD-XXL.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -94,7 +94,7 @@ L ATXMEGA16A4U-A IC1
U 1 1 55CA8F25
P 6150 5300
F 0 "IC1" H 5400 6500 40 0000 L BNN
F 1 "ATXMEGA16A4U-A" H 6500 4050 40 0000 L BNN
F 1 "ATXMEGA32A4U-AU" H 6500 4050 40 0000 L BNN
F 2 "Housings_QFP:LQFP-44_10x10mm_Pitch0.8mm" H 6150 5300 35 0000 C CIN
F 3 "" H 6150 5300 60 0000 C CNN
1 6150 5300
@ -491,12 +491,12 @@ VCC_3V3
$Comp
L INDUCTOR L1
U 1 1 5606EE9E
P 10150 5050
F 0 "L1" V 10100 5050 50 0000 C CNN
F 1 "INDUCTOR" V 10250 5050 50 0000 C CNN
F 2 "Special_inductor:SELF-WE-PD-XXL" H 10150 5050 60 0001 C CNN
F 3 "" H 10150 5050 60 0000 C CNN
1 10150 5050
P 10350 5050
F 0 "L1" V 10300 5050 50 0000 C CNN
F 1 "INDUCTOR" V 10450 5050 50 0000 C CNN
F 2 "Special_inductor:SELF-WE-PD-XXL" H 10350 5050 60 0001 C CNN
F 3 "" H 10350 5050 60 0000 C CNN
1 10350 5050
0 1 1 0
$EndComp
$Comp
@ -1347,8 +1347,6 @@ Connection ~ 9800 5050
Wire Wire Line
9800 5200 9800 5050
Connection ~ 10750 5050
Wire Wire Line
10450 5050 10950 5050
Wire Wire Line
9850 5050 9100 5050
Wire Wire Line
@ -1566,4 +1564,17 @@ Wire Wire Line
Wire Wire Line
8200 3600 9200 3600
Connection ~ 10100 5650
Wire Wire Line
10950 5050 10650 5050
$Comp
L F_Small F2
U 1 1 58F5AF9C
P 9950 5050
F 0 "F2" H 9910 5110 50 0000 L CNN
F 1 "F_Small" H 9830 4990 50 0000 L CNN
F 2 "Capacitors_SMD:C_1210" H 9950 5050 50 0001 C CNN
F 3 "" H 9950 5050 50 0000 C CNN
1 9950 5050
1 0 0 -1
$EndComp
$EndSCHEMATC

View File

@ -1,21 +1,21 @@
(kicad_pcb (version 4) (host pcbnew 4.0.4-stable)
(general
(links 154)
(links 155)
(no_connects 0)
(area 66.726343 96.4963 114.511487 136.986405)
(area 66.726343 96.542857 114.511487 136.986405)
(thickness 1.6)
(drawings 8)
(tracks 900)
(tracks 898)
(zones 0)
(modules 77)
(nets 76)
(modules 78)
(nets 77)
)
(page A4)
(layers
(0 F.Cu signal hide)
(31 B.Cu signal hide)
(0 F.Cu signal)
(31 B.Cu signal)
(32 B.Adhes user hide)
(33 F.Adhes user hide)
(34 B.Paste user hide)
@ -24,7 +24,7 @@
(37 F.SilkS user hide)
(38 B.Mask user hide)
(39 F.Mask user hide)
(40 Dwgs.User user)
(40 Dwgs.User user hide)
(41 Cmts.User user hide)
(42 Eco1.User user hide)
(43 Eco2.User user hide)
@ -66,10 +66,9 @@
(pad_drill 0.6)
(pad_to_mask_clearance 0)
(aux_axis_origin 0 0)
(grid_origin 99.7712 121.7168)
(visible_elements 7FFEEFFF)
(pcbplotparams
(layerselection 0x00100_00000000)
(layerselection 0x01033_80000001)
(usegerberextensions false)
(excludeedgelayer true)
(linewidth 0.100000)
@ -88,11 +87,11 @@
(plotinvisibletext false)
(padsonsilk false)
(subtractmaskfromsilk false)
(outputformat 4)
(outputformat 1)
(mirror false)
(drillshape 0)
(scaleselection 1)
(outputdirectory LABRADOR_BE_FILES_BATCH_1/))
(outputdirectory LABRADOR_BE_FILES_BATCH_2/))
)
(net 0 "")
@ -171,6 +170,7 @@
(net 73 /Buffered_DAC_CH2)
(net 74 /R22-R24)
(net 75 /R23-R25)
(net 76 "Net-(F2-Pad2)")
(net_class Default "This is the default net class."
(clearance 0.2)
@ -239,6 +239,7 @@
(add_net /V_minus_dac_out2)
(add_net /XCK)
(add_net "Net-(D2-Pad2)")
(add_net "Net-(F2-Pad2)")
(add_net "Net-(IC1-Pad10)")
(add_net "Net-(IC1-Pad13)")
(add_net "Net-(IC1-Pad16)")
@ -308,7 +309,7 @@
(fp_text reference IC1 (at 0.0381 0.0635) (layer Dwgs.User)
(effects (font (size 0.6 0.6) (thickness 0.1)))
)
(fp_text value ATXMEGA16A4U-A (at 0 7.65) (layer F.Fab)
(fp_text value ATXMEGA32A4U-AU (at 0 7.65) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -6.9 -6.9) (end -6.9 6.9) (layer F.CrtYd) (width 0.05))
@ -1405,7 +1406,7 @@
)
(module Pin_Headers:Pin_Header_Straight_1x03 (layer F.Cu) (tedit 58A7A7FF) (tstamp 583382F5)
(at 71.0692 100.5713)
(at 71.0692 101.5873)
(descr "Through hole pin header")
(tags "pin header")
(path /5837847B)
@ -2801,7 +2802,36 @@
)
)
(module Special_inductor:SELF-WE-PD-XXL (layer F.Cu) (tedit 58A7A7A0) (tstamp 58801C34)
(module Capacitors_SMD:C_1210 (layer F.Cu) (tedit 5415D85D) (tstamp 58F57B8D)
(at 88.5698 110.5408 180)
(descr "Capacitor SMD 1210, reflow soldering, AVX (see smccp.pdf)")
(tags "capacitor 1210")
(path /58F5AF9C)
(attr smd)
(fp_text reference F2 (at 0 -2.7 180) (layer Dwgs.User)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value F_Small (at 0 2.7 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2.3 -1.6) (end 2.3 -1.6) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.3 1.6) (end 2.3 1.6) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.3 -1.6) (end -2.3 1.6) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.3 -1.6) (end 2.3 1.6) (layer F.CrtYd) (width 0.05))
(fp_line (start 1 -1.475) (end -1 -1.475) (layer Dwgs.User) (width 0.15))
(fp_line (start -1 1.475) (end 1 1.475) (layer Dwgs.User) (width 0.15))
(pad 1 smd rect (at -1.5 0 180) (size 1 2.5) (layers F.Cu F.Paste F.Mask)
(net 5 +5V))
(pad 2 smd rect (at 1.5 0 180) (size 1 2.5) (layers F.Cu F.Paste F.Mask)
(net 76 "Net-(F2-Pad2)"))
(model Capacitors_SMD.3dshapes/C_1210.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Special_inductor:SMALL_INDUCTOR (layer F.Cu) (tedit 58F57C0A) (tstamp 58F5862C)
(at 88.138 105.918 270)
(descr "SELF- WE-PD-XXL")
(path /5606EE9E)
@ -2830,8 +2860,8 @@
)
(pad 1 smd rect (at -5.00126 0 270) (size 2.90068 5.40004) (layers F.Cu F.Paste F.Mask)
(net 15 /QTop))
(pad 2 smd rect (at 2.500126 0 270) (size 7.90068 5.40004) (layers F.Cu F.Paste F.Mask)
(net 5 +5V))
(pad 2 smd rect (at 0.08 0 270) (size 2.9 5.40004) (layers F.Cu F.Paste F.Mask)
(net 76 "Net-(F2-Pad2)"))
(model Inductors.3dshapes/SELF-WE-PD-XXL.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -2905,7 +2935,11 @@
(segment (start 87.5284 131.0132) (end 88.3285 131.8133) (width 0.25) (layer F.Cu) (net 4) (tstamp 5833F474))
(segment (start 88.3285 131.8133) (end 88.3285 132.5245) (width 0.25) (layer F.Cu) (net 4) (tstamp 5833F475))
(segment (start 88.3285 132.5245) (end 88.3285 132.6769) (width 0.25) (layer B.Cu) (net 4))
(segment (start 88.138 110.91926) (end 88.138 110.8456) (width 1.27) (layer F.Cu) (net 5))
(segment (start 99.14636 108.077) (end 99.14636 108.93044) (width 0.8) (layer F.Cu) (net 5))
(segment (start 90.9574 110.5408) (end 90.0698 110.5408) (width 0.8) (layer F.Cu) (net 5) (tstamp 58F58671))
(segment (start 91.5924 109.9058) (end 90.9574 110.5408) (width 0.8) (layer F.Cu) (net 5) (tstamp 58F58670))
(segment (start 98.171 109.9058) (end 91.5924 109.9058) (width 0.8) (layer F.Cu) (net 5) (tstamp 58F5866F))
(segment (start 99.14636 108.93044) (end 98.171 109.9058) (width 0.8) (layer F.Cu) (net 5) (tstamp 58F5866E))
(segment (start 102.8065 115.065) (end 102.8065 112.1029) (width 0.635) (layer F.Cu) (net 5))
(segment (start 100.8888 109.9312) (end 100.8888 109.0168) (width 0.635) (layer F.Cu) (net 5) (tstamp 5834D303))
(segment (start 101.0412 110.0836) (end 100.8888 109.9312) (width 0.635) (layer F.Cu) (net 5) (tstamp 5834D302))
@ -2914,9 +2948,6 @@
(segment (start 102.7938 112.0902) (end 101.0412 110.3376) (width 0.635) (layer B.Cu) (net 5) (tstamp 5834D2FF))
(via (at 102.7938 112.0902) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5))
(segment (start 102.8065 112.1029) (end 102.7938 112.0902) (width 0.635) (layer F.Cu) (net 5) (tstamp 5834D2FA))
(segment (start 98.552 109.3216) (end 98.5012 109.2708) (width 0.635) (layer F.Cu) (net 5) (tstamp 5833F5F2))
(segment (start 98.5012 109.2708) (end 98.552 109.3216) (width 0.635) (layer F.Cu) (net 5) (tstamp 5833F5F3))
(segment (start 98.552 109.3216) (end 98.552 109.22) (width 0.635) (layer F.Cu) (net 5) (tstamp 5833F5F5))
(segment (start 99.14636 108.077) (end 99.949 108.077) (width 1.27) (layer F.Cu) (net 5))
(segment (start 99.949 108.077) (end 100.8888 109.0168) (width 1.27) (layer F.Cu) (net 5) (tstamp 5833EDF4))
(segment (start 100.8888 109.0168) (end 101.0285 109.1565) (width 1.27) (layer F.Cu) (net 5) (tstamp 5834D306))
@ -2933,11 +2964,6 @@
(segment (start 98.6035 103.6955) (end 98.044 103.136) (width 0.4) (layer F.Cu) (net 5) (tstamp 5833ED7B))
(segment (start 100.33 104.648) (end 99.3775 103.6955) (width 0.4) (layer F.Cu) (net 5) (tstamp 5833EDB8))
(segment (start 99.3775 103.6955) (end 98.6035 103.6955) (width 0.4) (layer F.Cu) (net 5) (tstamp 5833ED7A))
(segment (start 99.14636 108.077) (end 99.14636 108.62564) (width 1.27) (layer F.Cu) (net 5))
(segment (start 99.14636 108.62564) (end 98.552 109.22) (width 1.27) (layer F.Cu) (net 5) (tstamp 5833EC0D))
(segment (start 98.552 109.22) (end 97.663 110.109) (width 1.27) (layer F.Cu) (net 5) (tstamp 5833F5F6))
(segment (start 97.663 110.109) (end 88.94826 110.109) (width 1.27) (layer F.Cu) (net 5) (tstamp 5833EC0E))
(segment (start 88.94826 110.109) (end 88.138 110.91926) (width 1.27) (layer F.Cu) (net 5) (tstamp 5833EC0F))
(segment (start 99.5807 101.7658) (end 100.2277 101.7658) (width 0.635) (layer F.Cu) (net 6))
(segment (start 100.7971 101.1964) (end 102.13296 101.1964) (width 0.635) (layer F.Cu) (net 6) (tstamp 58801DCF))
(segment (start 100.2277 101.7658) (end 100.7971 101.1964) (width 0.635) (layer F.Cu) (net 6) (tstamp 58801DCE))
@ -2959,7 +2985,6 @@
(segment (start 93.0402 108.0008) (end 93.4212 108.0008) (width 1.27) (layer B.Cu) (net 6) (tstamp 58801280))
(segment (start 91.1352 106.0958) (end 93.0402 108.0008) (width 1.27) (layer B.Cu) (net 6) (tstamp 5880127F))
(segment (start 82.7532 106.0958) (end 91.1352 106.0958) (width 1.27) (layer B.Cu) (net 6) (tstamp 5880127E))
(segment (start 71.0692 105.6513) (end 71.0692 106.6292) (width 0.25) (layer F.Cu) (net 6))
(segment (start 72.39 107.95) (end 72.39 110.617) (width 0.25) (layer F.Cu) (net 6) (tstamp 58338F1A))
(segment (start 72.39 107.95) (end 71.12 106.68) (width 0.25) (layer F.Cu) (net 6) (tstamp 58338F1D))
(segment (start 71.0692 106.6292) (end 71.12 106.68) (width 0.25) (layer F.Cu) (net 6) (tstamp 588013C4))
@ -3209,10 +3234,11 @@
(segment (start 92.329 113.4745) (end 92.087 113.2325) (width 0.25) (layer F.Cu) (net 8) (tstamp 5833ECC1))
(segment (start 93.6625 114.8127) (end 93.5736 114.7238) (width 0.25) (layer F.Cu) (net 8) (tstamp 5833E26A))
(segment (start 93.726 114.8762) (end 93.5736 114.7238) (width 0.25) (layer F.Cu) (net 8) (tstamp 5833E110))
(segment (start 76.61402 101.727) (end 76.61402 100.97262) (width 0.635) (layer F.Cu) (net 9))
(segment (start 76.61402 100.97262) (end 75.6412 99.9998) (width 0.635) (layer F.Cu) (net 9) (tstamp 588013D3))
(segment (start 75.6412 99.9998) (end 71.6407 99.9998) (width 0.635) (layer F.Cu) (net 9) (tstamp 588013D4))
(segment (start 71.0692 101.5873) (end 71.0692 100.5713) (width 0.635) (layer F.Cu) (net 9))
(segment (start 71.6407 99.9998) (end 71.0692 100.5713) (width 0.635) (layer F.Cu) (net 9) (tstamp 588013D5))
(segment (start 75.6412 99.9998) (end 71.6407 99.9998) (width 0.635) (layer F.Cu) (net 9) (tstamp 588013D4))
(segment (start 75.6412 99.9998) (end 76.61402 100.97262) (width 0.635) (layer F.Cu) (net 9) (tstamp 588013D3))
(segment (start 76.61402 101.727) (end 76.61402 100.97262) (width 0.635) (layer F.Cu) (net 9))
(segment (start 83.0834 115.1128) (end 84.8868 115.1128) (width 0.25) (layer F.Cu) (net 9))
(segment (start 84.8868 115.1128) (end 85.418 114.5816) (width 0.25) (layer F.Cu) (net 9) (tstamp 5834D5ED))
(segment (start 85.418 114.5816) (end 85.418 113.919) (width 0.25) (layer F.Cu) (net 9) (tstamp 5834D5EE))
@ -3279,7 +3305,6 @@
(segment (start 72.4662 111.0996) (end 71.12 109.7534) (width 0.25) (layer B.Cu) (net 17) (tstamp 5833DE15))
(segment (start 71.12 109.7534) (end 71.12 109.22) (width 0.25) (layer B.Cu) (net 17) (tstamp 5833DE16))
(segment (start 71.12 109.22) (end 71.12 109.474) (width 0.4) (layer B.Cu) (net 17))
(segment (start 71.0692 103.1113) (end 71.0692 103.4288) (width 0.25) (layer B.Cu) (net 18))
(segment (start 71.0692 103.4288) (end 72.5297 104.8893) (width 0.25) (layer B.Cu) (net 18) (tstamp 588013DA))
(segment (start 72.5297 104.8893) (end 72.5297 105.2703) (width 0.25) (layer B.Cu) (net 18) (tstamp 588013DB))
(segment (start 72.5297 105.2703) (end 72.6567 105.3973) (width 0.25) (layer B.Cu) (net 18) (tstamp 588013DC))
@ -3756,5 +3781,8 @@
(segment (start 73.914 132.334) (end 73.914 132.6388) (width 0.25) (layer F.Cu) (net 75) (tstamp 5833AF93))
(segment (start 73.914 132.6388) (end 73.5584 132.9944) (width 0.25) (layer F.Cu) (net 75) (tstamp 5833AF94))
(segment (start 73.5584 132.9944) (end 73.164 132.9944) (width 0.25) (layer F.Cu) (net 75) (tstamp 5833AF95))
(segment (start 87.0698 108.1546) (end 87.0698 110.5408) (width 1.27) (layer F.Cu) (net 76) (tstamp 58F5867B))
(segment (start 88.138 107.0864) (end 87.0698 108.1546) (width 1.27) (layer F.Cu) (net 76) (tstamp 58F5867A))
(segment (start 88.138 105.998) (end 88.138 107.0864) (width 1.27) (layer F.Cu) (net 76))
)

View File

@ -1,21 +1,21 @@
(kicad_pcb (version 4) (host pcbnew 4.0.4-stable)
(general
(links 154)
(links 155)
(no_connects 0)
(area 66.726343 96.4963 114.511487 136.986405)
(area 66.726343 96.542857 114.511487 136.986405)
(thickness 1.6)
(drawings 8)
(tracks 900)
(tracks 898)
(zones 0)
(modules 77)
(nets 76)
(modules 78)
(nets 77)
)
(page A4)
(layers
(0 F.Cu signal hide)
(31 B.Cu signal hide)
(0 F.Cu signal)
(31 B.Cu signal)
(32 B.Adhes user hide)
(33 F.Adhes user hide)
(34 B.Paste user hide)
@ -24,7 +24,7 @@
(37 F.SilkS user hide)
(38 B.Mask user hide)
(39 F.Mask user hide)
(40 Dwgs.User user)
(40 Dwgs.User user hide)
(41 Cmts.User user hide)
(42 Eco1.User user hide)
(43 Eco2.User user hide)
@ -69,7 +69,7 @@
(grid_origin 99.7712 121.7168)
(visible_elements 7FFEEFFF)
(pcbplotparams
(layerselection 0x011f0_80000001)
(layerselection 0x01033_80000001)
(usegerberextensions false)
(excludeedgelayer true)
(linewidth 0.100000)
@ -88,11 +88,11 @@
(plotinvisibletext false)
(padsonsilk false)
(subtractmaskfromsilk false)
(outputformat 4)
(outputformat 1)
(mirror false)
(drillshape 0)
(scaleselection 1)
(outputdirectory LABRADOR_BE_FILES_BATCH_1/))
(outputdirectory LABRADOR_BE_FILES_BATCH_2/))
)
(net 0 "")
@ -171,6 +171,7 @@
(net 73 /Buffered_DAC_CH2)
(net 74 /R22-R24)
(net 75 /R23-R25)
(net 76 "Net-(F2-Pad2)")
(net_class Default "This is the default net class."
(clearance 0.2)
@ -239,6 +240,7 @@
(add_net /V_minus_dac_out2)
(add_net /XCK)
(add_net "Net-(D2-Pad2)")
(add_net "Net-(F2-Pad2)")
(add_net "Net-(IC1-Pad10)")
(add_net "Net-(IC1-Pad13)")
(add_net "Net-(IC1-Pad16)")
@ -308,7 +310,7 @@
(fp_text reference IC1 (at 0.0381 0.0635) (layer Dwgs.User)
(effects (font (size 0.6 0.6) (thickness 0.1)))
)
(fp_text value ATXMEGA16A4U-A (at 0 7.65) (layer F.Fab)
(fp_text value ATXMEGA32A4U-AU (at 0 7.65) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -6.9 -6.9) (end -6.9 6.9) (layer F.CrtYd) (width 0.05))
@ -1405,7 +1407,7 @@
)
(module Pin_Headers:Pin_Header_Straight_1x03 (layer F.Cu) (tedit 58A7A7FF) (tstamp 583382F5)
(at 71.0692 100.5713)
(at 71.0692 101.5873)
(descr "Through hole pin header")
(tags "pin header")
(path /5837847B)
@ -2801,7 +2803,36 @@
)
)
(module Special_inductor:SELF-WE-PD-XXL (layer F.Cu) (tedit 58A7A7A0) (tstamp 58801C34)
(module Capacitors_SMD:C_1210 (layer F.Cu) (tedit 5415D85D) (tstamp 58F57B8D)
(at 88.5698 110.5408 180)
(descr "Capacitor SMD 1210, reflow soldering, AVX (see smccp.pdf)")
(tags "capacitor 1210")
(path /58F5AF9C)
(attr smd)
(fp_text reference F2 (at 0 -2.7 180) (layer Dwgs.User)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value F_Small (at 0 2.7 180) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2.3 -1.6) (end 2.3 -1.6) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.3 1.6) (end 2.3 1.6) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.3 -1.6) (end -2.3 1.6) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.3 -1.6) (end 2.3 1.6) (layer F.CrtYd) (width 0.05))
(fp_line (start 1 -1.475) (end -1 -1.475) (layer Dwgs.User) (width 0.15))
(fp_line (start -1 1.475) (end 1 1.475) (layer Dwgs.User) (width 0.15))
(pad 1 smd rect (at -1.5 0 180) (size 1 2.5) (layers F.Cu F.Paste F.Mask)
(net 5 +5V))
(pad 2 smd rect (at 1.5 0 180) (size 1 2.5) (layers F.Cu F.Paste F.Mask)
(net 76 "Net-(F2-Pad2)"))
(model Capacitors_SMD.3dshapes/C_1210.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Special_inductor:SMALL_INDUCTOR (layer F.Cu) (tedit 58F57C0A) (tstamp 58F5862C)
(at 88.138 105.918 270)
(descr "SELF- WE-PD-XXL")
(path /5606EE9E)
@ -2830,8 +2861,8 @@
)
(pad 1 smd rect (at -5.00126 0 270) (size 2.90068 5.40004) (layers F.Cu F.Paste F.Mask)
(net 15 /QTop))
(pad 2 smd rect (at 2.500126 0 270) (size 7.90068 5.40004) (layers F.Cu F.Paste F.Mask)
(net 5 +5V))
(pad 2 smd rect (at 0.08 0 270) (size 2.9 5.40004) (layers F.Cu F.Paste F.Mask)
(net 76 "Net-(F2-Pad2)"))
(model Inductors.3dshapes/SELF-WE-PD-XXL.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -2905,7 +2936,11 @@
(segment (start 87.5284 131.0132) (end 88.3285 131.8133) (width 0.25) (layer F.Cu) (net 4) (tstamp 5833F474))
(segment (start 88.3285 131.8133) (end 88.3285 132.5245) (width 0.25) (layer F.Cu) (net 4) (tstamp 5833F475))
(segment (start 88.3285 132.5245) (end 88.3285 132.6769) (width 0.25) (layer B.Cu) (net 4))
(segment (start 88.138 110.91926) (end 88.138 110.8456) (width 1.27) (layer F.Cu) (net 5))
(segment (start 99.14636 108.077) (end 99.14636 108.93044) (width 0.8) (layer F.Cu) (net 5))
(segment (start 90.9574 110.5408) (end 90.0698 110.5408) (width 0.8) (layer F.Cu) (net 5) (tstamp 58F58671))
(segment (start 91.5924 109.9058) (end 90.9574 110.5408) (width 0.8) (layer F.Cu) (net 5) (tstamp 58F58670))
(segment (start 98.171 109.9058) (end 91.5924 109.9058) (width 0.8) (layer F.Cu) (net 5) (tstamp 58F5866F))
(segment (start 99.14636 108.93044) (end 98.171 109.9058) (width 0.8) (layer F.Cu) (net 5) (tstamp 58F5866E))
(segment (start 102.8065 115.065) (end 102.8065 112.1029) (width 0.635) (layer F.Cu) (net 5))
(segment (start 100.8888 109.9312) (end 100.8888 109.0168) (width 0.635) (layer F.Cu) (net 5) (tstamp 5834D303))
(segment (start 101.0412 110.0836) (end 100.8888 109.9312) (width 0.635) (layer F.Cu) (net 5) (tstamp 5834D302))
@ -2914,9 +2949,6 @@
(segment (start 102.7938 112.0902) (end 101.0412 110.3376) (width 0.635) (layer B.Cu) (net 5) (tstamp 5834D2FF))
(via (at 102.7938 112.0902) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 5))
(segment (start 102.8065 112.1029) (end 102.7938 112.0902) (width 0.635) (layer F.Cu) (net 5) (tstamp 5834D2FA))
(segment (start 98.552 109.3216) (end 98.5012 109.2708) (width 0.635) (layer F.Cu) (net 5) (tstamp 5833F5F2))
(segment (start 98.5012 109.2708) (end 98.552 109.3216) (width 0.635) (layer F.Cu) (net 5) (tstamp 5833F5F3))
(segment (start 98.552 109.3216) (end 98.552 109.22) (width 0.635) (layer F.Cu) (net 5) (tstamp 5833F5F5))
(segment (start 99.14636 108.077) (end 99.949 108.077) (width 1.27) (layer F.Cu) (net 5))
(segment (start 99.949 108.077) (end 100.8888 109.0168) (width 1.27) (layer F.Cu) (net 5) (tstamp 5833EDF4))
(segment (start 100.8888 109.0168) (end 101.0285 109.1565) (width 1.27) (layer F.Cu) (net 5) (tstamp 5834D306))
@ -2933,11 +2965,6 @@
(segment (start 98.6035 103.6955) (end 98.044 103.136) (width 0.4) (layer F.Cu) (net 5) (tstamp 5833ED7B))
(segment (start 100.33 104.648) (end 99.3775 103.6955) (width 0.4) (layer F.Cu) (net 5) (tstamp 5833EDB8))
(segment (start 99.3775 103.6955) (end 98.6035 103.6955) (width 0.4) (layer F.Cu) (net 5) (tstamp 5833ED7A))
(segment (start 99.14636 108.077) (end 99.14636 108.62564) (width 1.27) (layer F.Cu) (net 5))
(segment (start 99.14636 108.62564) (end 98.552 109.22) (width 1.27) (layer F.Cu) (net 5) (tstamp 5833EC0D))
(segment (start 98.552 109.22) (end 97.663 110.109) (width 1.27) (layer F.Cu) (net 5) (tstamp 5833F5F6))
(segment (start 97.663 110.109) (end 88.94826 110.109) (width 1.27) (layer F.Cu) (net 5) (tstamp 5833EC0E))
(segment (start 88.94826 110.109) (end 88.138 110.91926) (width 1.27) (layer F.Cu) (net 5) (tstamp 5833EC0F))
(segment (start 99.5807 101.7658) (end 100.2277 101.7658) (width 0.635) (layer F.Cu) (net 6))
(segment (start 100.7971 101.1964) (end 102.13296 101.1964) (width 0.635) (layer F.Cu) (net 6) (tstamp 58801DCF))
(segment (start 100.2277 101.7658) (end 100.7971 101.1964) (width 0.635) (layer F.Cu) (net 6) (tstamp 58801DCE))
@ -2959,7 +2986,6 @@
(segment (start 93.0402 108.0008) (end 93.4212 108.0008) (width 1.27) (layer B.Cu) (net 6) (tstamp 58801280))
(segment (start 91.1352 106.0958) (end 93.0402 108.0008) (width 1.27) (layer B.Cu) (net 6) (tstamp 5880127F))
(segment (start 82.7532 106.0958) (end 91.1352 106.0958) (width 1.27) (layer B.Cu) (net 6) (tstamp 5880127E))
(segment (start 71.0692 105.6513) (end 71.0692 106.6292) (width 0.25) (layer F.Cu) (net 6))
(segment (start 72.39 107.95) (end 72.39 110.617) (width 0.25) (layer F.Cu) (net 6) (tstamp 58338F1A))
(segment (start 72.39 107.95) (end 71.12 106.68) (width 0.25) (layer F.Cu) (net 6) (tstamp 58338F1D))
(segment (start 71.0692 106.6292) (end 71.12 106.68) (width 0.25) (layer F.Cu) (net 6) (tstamp 588013C4))
@ -3209,10 +3235,11 @@
(segment (start 92.329 113.4745) (end 92.087 113.2325) (width 0.25) (layer F.Cu) (net 8) (tstamp 5833ECC1))
(segment (start 93.6625 114.8127) (end 93.5736 114.7238) (width 0.25) (layer F.Cu) (net 8) (tstamp 5833E26A))
(segment (start 93.726 114.8762) (end 93.5736 114.7238) (width 0.25) (layer F.Cu) (net 8) (tstamp 5833E110))
(segment (start 76.61402 101.727) (end 76.61402 100.97262) (width 0.635) (layer F.Cu) (net 9))
(segment (start 76.61402 100.97262) (end 75.6412 99.9998) (width 0.635) (layer F.Cu) (net 9) (tstamp 588013D3))
(segment (start 75.6412 99.9998) (end 71.6407 99.9998) (width 0.635) (layer F.Cu) (net 9) (tstamp 588013D4))
(segment (start 71.0692 101.5873) (end 71.0692 100.5713) (width 0.635) (layer F.Cu) (net 9))
(segment (start 71.6407 99.9998) (end 71.0692 100.5713) (width 0.635) (layer F.Cu) (net 9) (tstamp 588013D5))
(segment (start 75.6412 99.9998) (end 71.6407 99.9998) (width 0.635) (layer F.Cu) (net 9) (tstamp 588013D4))
(segment (start 75.6412 99.9998) (end 76.61402 100.97262) (width 0.635) (layer F.Cu) (net 9) (tstamp 588013D3))
(segment (start 76.61402 101.727) (end 76.61402 100.97262) (width 0.635) (layer F.Cu) (net 9))
(segment (start 83.0834 115.1128) (end 84.8868 115.1128) (width 0.25) (layer F.Cu) (net 9))
(segment (start 84.8868 115.1128) (end 85.418 114.5816) (width 0.25) (layer F.Cu) (net 9) (tstamp 5834D5ED))
(segment (start 85.418 114.5816) (end 85.418 113.919) (width 0.25) (layer F.Cu) (net 9) (tstamp 5834D5EE))
@ -3279,7 +3306,6 @@
(segment (start 72.4662 111.0996) (end 71.12 109.7534) (width 0.25) (layer B.Cu) (net 17) (tstamp 5833DE15))
(segment (start 71.12 109.7534) (end 71.12 109.22) (width 0.25) (layer B.Cu) (net 17) (tstamp 5833DE16))
(segment (start 71.12 109.22) (end 71.12 109.474) (width 0.4) (layer B.Cu) (net 17))
(segment (start 71.0692 103.1113) (end 71.0692 103.4288) (width 0.25) (layer B.Cu) (net 18))
(segment (start 71.0692 103.4288) (end 72.5297 104.8893) (width 0.25) (layer B.Cu) (net 18) (tstamp 588013DA))
(segment (start 72.5297 104.8893) (end 72.5297 105.2703) (width 0.25) (layer B.Cu) (net 18) (tstamp 588013DB))
(segment (start 72.5297 105.2703) (end 72.6567 105.3973) (width 0.25) (layer B.Cu) (net 18) (tstamp 588013DC))
@ -3756,5 +3782,8 @@
(segment (start 73.914 132.334) (end 73.914 132.6388) (width 0.25) (layer F.Cu) (net 75) (tstamp 5833AF93))
(segment (start 73.914 132.6388) (end 73.5584 132.9944) (width 0.25) (layer F.Cu) (net 75) (tstamp 5833AF94))
(segment (start 73.5584 132.9944) (end 73.164 132.9944) (width 0.25) (layer F.Cu) (net 75) (tstamp 5833AF95))
(segment (start 87.0698 108.1546) (end 87.0698 110.5408) (width 1.27) (layer F.Cu) (net 76) (tstamp 58F5867B))
(segment (start 88.138 107.0864) (end 87.0698 108.1546) (width 1.27) (layer F.Cu) (net 76) (tstamp 58F5867A))
(segment (start 88.138 105.998) (end 88.138 107.0864) (width 1.27) (layer F.Cu) (net 76))
)

View File

@ -1,7 +1,7 @@
(export (version D)
(design
(source C:/Users/Esposch/Documents/GitHub/Labrador/PCB/Tinylab_proto1.sch)
(date "19/01/2017 12:41:43 PM")
(date "18/04/2017 12:40:05 PM")
(tool "Eeschema 4.0.4-stable")
(sheet (number 1) (name /) (tstamps /)
(title_block
@ -22,7 +22,7 @@
(sheetpath (names /) (tstamps /))
(tstamp 55CA87E2))
(comp (ref IC1)
(value ATXMEGA16A4U-A)
(value ATXMEGA32A4U-AU)
(footprint Housings_QFP:LQFP-44_10x10mm_Pitch0.8mm)
(libsource (lib atmel) (part ATXMEGA16A4U-A))
(sheetpath (names /) (tstamps /))
@ -197,7 +197,7 @@
(tstamp 55D739F3))
(comp (ref L1)
(value INDUCTOR)
(footprint Special_inductor:SELF-WE-PD-XXL)
(footprint Special_inductor:SMALL_INDUCTOR)
(libsource (lib device) (part INDUCTOR))
(sheetpath (names /) (tstamps /))
(tstamp 5606EE9E))
@ -452,7 +452,13 @@
(footprint Capacitors_SMD:C_0603)
(libsource (lib device) (part C))
(sheetpath (names /) (tstamps /))
(tstamp 588029E7)))
(tstamp 588029E7))
(comp (ref F2)
(value F_Small)
(footprint Capacitors_SMD:C_1210)
(libsource (lib device) (part F_Small))
(sheetpath (names /) (tstamps /))
(tstamp 58F5AF9C)))
(libparts
(libpart (lib Tinylab_proto1-rescue) (part 78L05-RESCUE-Tinylab_proto1)
(fields
@ -740,231 +746,227 @@
(pin (num 5) (name GND) (type power_in))
(pin (num 6) (name shield) (type passive)))))
(libraries
(library (logical conn)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\conn.lib"))
(library (logical Tinylab_proto1-rescue)
(uri C:\Users\Esposch\Documents\GitHub\Labrador\PCB\Tinylab_proto1-rescue.lib))
(library (logical device)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\device.lib"))
(library (logical conn)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\conn.lib"))
(library (logical atmel)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\atmel.lib"))
(library (logical ESPO_PART)
(uri C:\Users\Esposch\Documents\KiCAD\ESPO_PART.lib)))
(uri C:\Users\Esposch\Documents\KiCAD\ESPO_PART.lib))
(library (logical atmel)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\atmel.lib")))
(nets
(net (code 1) (name /TO_B1)
(net (code 1) (name /CH2)
(node (ref IC1) (pin 2))
(node (ref IC1) (pin 40))
(node (ref U2) (pin 6))
(node (ref U2) (pin 7))
(node (ref R28) (pin 2)))
(net (code 2) (name /TO_B1)
(node (ref IC1) (pin 5))
(node (ref U3) (pin 5)))
(net (code 2) (name /S-Gen_CH1_DC)
(node (ref R24) (pin 1))
(node (ref C13) (pin 2))
(node (ref P6) (pin 1)))
(net (code 3) (name /S-Gen_CH1_AC)
(node (ref C13) (pin 1))
(node (ref P6) (pin 2)))
(net (code 4) (name /S-Gen_CH2_AC)
(net (code 3) (name /Scope_Buffer_Input_CH2)
(node (ref U2) (pin 5))
(node (ref R4) (pin 2))
(node (ref R2) (pin 1)))
(net (code 4) (name /S-Gen_CH2_DC)
(node (ref P6) (pin 3))
(node (ref C14) (pin 2))
(node (ref R25) (pin 1)))
(net (code 5) (name /S-Gen_CH2_AC)
(node (ref P6) (pin 4))
(node (ref C14) (pin 1)))
(net (code 5) (name /V_minus_dac_out2)
(node (ref U2) (pin 13))
(net (code 6) (name /V_minus_dac_out2)
(node (ref R15) (pin 1))
(node (ref R20) (pin 2))
(node (ref R16) (pin 1)))
(net (code 6) (name /S-Gen_Drain_Top_CH1)
(node (ref U3) (pin 3))
(node (ref R16) (pin 1))
(node (ref U2) (pin 13)))
(net (code 7) (name /S-Gen_Drain_Top_CH1)
(node (ref R18) (pin 2))
(node (ref R17) (pin 2))
(node (ref R18) (pin 2)))
(net (code 7) (name /Scope_Buffer_Input_CH1)
(node (ref R3) (pin 1))
(node (ref U2) (pin 3))
(node (ref R1) (pin 1)))
(net (code 8) (name /Scope_Buffer_Input_CH2)
(node (ref R4) (pin 2))
(node (ref R2) (pin 1))
(node (ref U2) (pin 5)))
(node (ref U3) (pin 3)))
(net (code 8) (name /S-Gen_CH1_AC)
(node (ref C13) (pin 1))
(node (ref P6) (pin 2)))
(net (code 9) (name /D1_OUT)
(node (ref P3) (pin 3))
(node (ref R8) (pin 2)))
(net (code 10) (name /Switch_OC)
(node (ref P11) (pin 1)))
(net (code 11) (name /Scope_CH2_AC)
(node (ref C2) (pin 2))
(node (ref P5) (pin 2)))
(net (code 12) (name /Scope_CH2_DC)
(node (ref P5) (pin 1))
(node (ref P5) (pin 3))
(node (ref P5) (pin 4))
(node (ref R2) (pin 2))
(node (ref C2) (pin 1)))
(net (code 13) (name /Scoe_CH1_AC)
(node (ref C1) (pin 2))
(node (ref P1) (pin 2)))
(net (code 14) (name "Net-(L3-Pad1)")
(node (ref L4) (pin 2))
(node (ref L3) (pin 1)))
(net (code 15) (name /OPAMP_VCC)
(node (ref C16) (pin 1))
(node (ref U2) (pin 4))
(node (ref L4) (pin 1)))
(net (code 16) (name /PSU_OUT)
(node (ref R32) (pin 1))
(node (ref P7) (pin 1))
(node (ref P11) (pin 3)))
(net (code 17) (name /QTop)
(node (ref Q1) (pin 3))
(node (ref L1) (pin 1))
(node (ref D1) (pin 2)))
(net (code 18) (name /D2_MID)
(node (ref R9) (pin 1))
(node (ref R13) (pin 2)))
(net (code 19) (name /PDI_CLK)
(net (code 11) (name /PDI_CLK)
(node (ref IC1) (pin 34))
(node (ref P4) (pin 2)))
(net (code 20) (name /PDI_DATA)
(node (ref P4) (pin 4))
(node (ref IC1) (pin 35)))
(net (code 21) (name /3V3_OUT)
(node (ref F1) (pin 1))
(node (ref P4) (pin 1)))
(net (code 22) (name /D0_MID)
(net (code 12) (name /Scope_CH2_DC)
(node (ref P5) (pin 4))
(node (ref C2) (pin 1))
(node (ref P5) (pin 3))
(node (ref R2) (pin 2))
(node (ref P5) (pin 1)))
(net (code 13) (name /Scoe_CH1_AC)
(node (ref P1) (pin 2))
(node (ref C1) (pin 2)))
(net (code 14) (name /Scope_CH2_AC)
(node (ref P5) (pin 2))
(node (ref C2) (pin 2)))
(net (code 15) (name /S-Gen_CH1_DC)
(node (ref R24) (pin 1))
(node (ref P6) (pin 1))
(node (ref C13) (pin 2)))
(net (code 16) (name "Net-(L3-Pad1)")
(node (ref L3) (pin 1))
(node (ref L4) (pin 2)))
(net (code 17) (name /QTop)
(node (ref Q1) (pin 3))
(node (ref D1) (pin 2))
(node (ref L1) (pin 1)))
(net (code 18) (name /PDI_DATA)
(node (ref IC1) (pin 35))
(node (ref P4) (pin 4)))
(net (code 19) (name /3V3_OUT)
(node (ref P4) (pin 1))
(node (ref F1) (pin 1)))
(net (code 20) (name /D0_MID)
(node (ref R11) (pin 2))
(node (ref R7) (pin 1)))
(net (code 23) (name /D1_MID)
(net (code 21) (name /D1_MID)
(node (ref R8) (pin 1))
(node (ref R12) (pin 2)))
(net (code 24) (name /D3_MID)
(node (ref R14) (pin 2))
(node (ref R10) (pin 1)))
(net (code 25) (name /L-Ana_IN_CH1)
(net (code 22) (name /D2_MID)
(node (ref R9) (pin 1))
(node (ref R13) (pin 2)))
(net (code 23) (name /D3_MID)
(node (ref R10) (pin 1))
(node (ref R14) (pin 2)))
(net (code 24) (name /L-Ana_IN_CH1)
(node (ref U4) (pin 5))
(node (ref P8) (pin 1)))
(net (code 26) (name /R22-R24)
(net (code 25) (name /R22-R24)
(node (ref R24) (pin 2))
(node (ref R22) (pin 1)))
(net (code 26) (name /PSU_OUT)
(node (ref P7) (pin 1))
(node (ref P11) (pin 3))
(node (ref R32) (pin 1)))
(net (code 27) (name /DAC_OUT)
(node (ref IC1) (pin 6))
(node (ref U2) (pin 10)))
(node (ref U2) (pin 10))
(node (ref IC1) (pin 6)))
(net (code 28) (name +5V)
(node (ref C3) (pin 1))
(node (ref P2) (pin 1))
(node (ref U1) (pin 3))
(node (ref L1) (pin 2))
(node (ref C9) (pin 1))
(node (ref C10) (pin 1)))
(net (code 29) (name /AVCC_ON_2)
(node (ref R4) (pin 1))
(node (ref IC1) (pin 44))
(node (ref R3) (pin 2))
(node (ref R5) (pin 2))
(node (ref R6) (pin 2)))
(net (code 30) (name /CH1)
(node (ref U2) (pin 2))
(node (ref IC1) (pin 42))
(node (ref R21) (pin 1))
(node (ref U2) (pin 1)))
(net (code 31) (name /L-Ana_IN_CH2)
(node (ref U4) (pin 2))
(node (ref P8) (pin 2)))
(net (code 32) (name /S-Gen_CH2_DC)
(node (ref P6) (pin 3))
(node (ref C14) (pin 2))
(node (ref R25) (pin 1)))
(net (code 33) (name /D2-prefuse)
(node (ref R13) (pin 1))
(node (ref IC1) (pin 32)))
(net (code 34) (name /D0_OUT)
(node (ref P3) (pin 4))
(node (ref R7) (pin 2)))
(net (code 35) (name /D3-prefuse)
(node (ref R14) (pin 1))
(node (ref IC1) (pin 33)))
(net (code 36) (name /D1-prefuse)
(node (ref R12) (pin 1))
(node (ref IC1) (pin 29)))
(net (code 37) (name /D0-prefuse)
(node (ref IC1) (pin 28))
(node (ref R11) (pin 1)))
(net (code 38) (name /AVCC)
(node (ref IC1) (pin 39))
(node (ref C18) (pin 1))
(node (ref R5) (pin 1))
(node (ref C17) (pin 1))
(node (ref C8) (pin 1))
(node (ref C5) (pin 1))
(node (ref L2) (pin 2)))
(net (code 39) (name /DIG_CH1)
(node (ref IC1) (pin 15))
(node (ref U4) (pin 6)))
(net (code 40) (name /VCC_3V3)
(node (ref C6) (pin 1))
(node (ref C10) (pin 1))
(node (ref U1) (pin 3))
(node (ref C3) (pin 1))
(node (ref F2) (pin 1)))
(net (code 29) (name /VCC_3V3)
(node (ref F1) (pin 2))
(node (ref U1) (pin 2))
(node (ref IC1) (pin 19))
(node (ref C6) (pin 1))
(node (ref C11) (pin 1))
(node (ref C4) (pin 1))
(node (ref U1) (pin 2))
(node (ref IC1) (pin 31))
(node (ref L2) (pin 1))
(node (ref IC1) (pin 19)))
(net (code 41) (name /D+)
(node (ref L2) (pin 1)))
(net (code 30) (name /Scope_Buffer_Input_CH1)
(node (ref R1) (pin 1))
(node (ref R3) (pin 1))
(node (ref U2) (pin 3)))
(net (code 31) (name /CH1)
(node (ref U2) (pin 1))
(node (ref U2) (pin 2))
(node (ref R21) (pin 1))
(node (ref IC1) (pin 42)))
(net (code 32) (name "Net-(F2-Pad2)")
(node (ref L1) (pin 2))
(node (ref F2) (pin 2)))
(net (code 33) (name /L-Ana_IN_CH2)
(node (ref U4) (pin 2))
(node (ref P8) (pin 2)))
(net (code 34) (name /D1-prefuse)
(node (ref IC1) (pin 29))
(node (ref R12) (pin 1)))
(net (code 35) (name /D0_OUT)
(node (ref R7) (pin 2))
(node (ref P3) (pin 4)))
(net (code 36) (name /D3-prefuse)
(node (ref R14) (pin 1))
(node (ref IC1) (pin 33)))
(net (code 37) (name /D2-prefuse)
(node (ref R13) (pin 1))
(node (ref IC1) (pin 32)))
(net (code 38) (name /D0-prefuse)
(node (ref IC1) (pin 28))
(node (ref R11) (pin 1)))
(net (code 39) (name /D+)
(node (ref P2) (pin 3))
(node (ref IC1) (pin 27)))
(net (code 42) (name /D3-OUT)
(node (ref P3) (pin 1))
(node (ref R10) (pin 2)))
(net (code 43) (name /D2-OUT)
(node (ref P3) (pin 2))
(node (ref R9) (pin 2)))
(net (code 44) (name /DIG_CH2)
(node (ref IC1) (pin 12))
(node (ref U4) (pin 3)))
(net (code 45) (name /Disconnected_USB)
(node (ref P2) (pin 4)))
(net (code 46) (name /VGND)
(node (ref C11) (pin 2))
(node (ref IC1) (pin 8))
(node (ref C6) (pin 2))
(node (ref IC1) (pin 30))
(node (ref U2) (pin 11))
(net (code 40) (name /DIG_CH1)
(node (ref IC1) (pin 15))
(node (ref U4) (pin 6)))
(net (code 41) (name /AVCC)
(node (ref R5) (pin 1))
(node (ref C18) (pin 1))
(node (ref C5) (pin 1))
(node (ref C8) (pin 1))
(node (ref C17) (pin 1))
(node (ref L2) (pin 2))
(node (ref IC1) (pin 39)))
(net (code 42) (name /D-)
(node (ref P2) (pin 2))
(node (ref IC1) (pin 26)))
(net (code 43) (name /D3-OUT)
(node (ref R10) (pin 2))
(node (ref P3) (pin 1)))
(net (code 44) (name /D2-OUT)
(node (ref R9) (pin 2))
(node (ref P3) (pin 2)))
(net (code 45) (name /VGND)
(node (ref C16) (pin 2))
(node (ref C8) (pin 2))
(node (ref R27) (pin 2))
(node (ref R31) (pin 2))
(node (ref U3) (pin 1))
(node (ref IC1) (pin 18))
(node (ref IC1) (pin 38))
(node (ref C15) (pin 2))
(node (ref R21) (pin 2))
(node (ref C7) (pin 2))
(node (ref IC1) (pin 8))
(node (ref P2) (pin 5))
(node (ref P2) (pin 6))
(node (ref U1) (pin 1))
(node (ref P7) (pin 2))
(node (ref IC1) (pin 14))
(node (ref D2) (pin 1))
(node (ref Q1) (pin 2))
(node (ref C17) (pin 2))
(node (ref C7) (pin 2))
(node (ref R21) (pin 2))
(node (ref R28) (pin 1))
(node (ref C18) (pin 2))
(node (ref P4) (pin 3))
(node (ref R29) (pin 2))
(node (ref R30) (pin 1))
(node (ref U3) (pin 4))
(node (ref P4) (pin 3))
(node (ref C15) (pin 2))
(node (ref IC1) (pin 30))
(node (ref D2) (pin 1))
(node (ref P7) (pin 2))
(node (ref R31) (pin 2))
(node (ref C17) (pin 2))
(node (ref R28) (pin 1))
(node (ref C11) (pin 2))
(node (ref C6) (pin 2))
(node (ref U2) (pin 11))
(node (ref C18) (pin 2))
(node (ref C10) (pin 2))
(node (ref R27) (pin 2))
(node (ref C9) (pin 2))
(node (ref C16) (pin 2))
(node (ref IC1) (pin 18))
(node (ref IC1) (pin 38))
(node (ref U3) (pin 1))
(node (ref P10) (pin 3))
(node (ref C12) (pin 2))
(node (ref P10) (pin 3))
(node (ref C3) (pin 2))
(node (ref C4) (pin 2))
(node (ref R6) (pin 1))
(node (ref U4) (pin 4))
(node (ref U4) (pin 1))
(node (ref C5) (pin 2))
(node (ref C3) (pin 2))
(node (ref C4) (pin 2)))
(net (code 47) (name /Scope_CH1_DC)
(node (ref P1) (pin 1))
(node (ref R1) (pin 2))
(node (ref P1) (pin 3))
(node (ref C1) (pin 1))
(node (ref P1) (pin 4)))
(net (code 48) (name "Net-(IC1-Pad9)")
(node (ref IC1) (pin 9)))
(node (ref U4) (pin 1)))
(net (code 46) (name /Disconnected_USB)
(node (ref P2) (pin 4)))
(net (code 47) (name /DIG_CH2)
(node (ref U4) (pin 3))
(node (ref IC1) (pin 12)))
(net (code 48) (name "Net-(IC1-Pad10)")
(node (ref IC1) (pin 10)))
(net (code 49) (name /PSU_FDBK)
(node (ref R27) (pin 1))
(node (ref R26) (pin 2))
@ -973,38 +975,41 @@
(net (code 50) (name "Net-(IC1-Pad3)")
(node (ref IC1) (pin 3)))
(net (code 51) (name /DAC_OUT2)
(node (ref U2) (pin 12))
(node (ref IC1) (pin 7)))
(net (code 52) (name "Net-(IC1-Pad10)")
(node (ref IC1) (pin 10)))
(node (ref IC1) (pin 7))
(node (ref U2) (pin 12)))
(net (code 52) (name "Net-(IC1-Pad9)")
(node (ref IC1) (pin 9)))
(net (code 53) (name "Net-(IC1-Pad20)")
(node (ref IC1) (pin 20)))
(net (code 54) (name /CH2)
(node (ref U2) (pin 7))
(node (ref IC1) (pin 40))
(node (ref IC1) (pin 2))
(node (ref R28) (pin 2))
(node (ref U2) (pin 6)))
(net (code 54) (name /XCK)
(node (ref IC1) (pin 17))
(node (ref IC1) (pin 11)))
(net (code 55) (name "Net-(IC1-Pad21)")
(node (ref IC1) (pin 21)))
(net (code 56) (name "Net-(IC1-Pad41)")
(node (ref IC1) (pin 41)))
(net (code 57) (name /D-)
(node (ref P2) (pin 2))
(node (ref IC1) (pin 26)))
(net (code 57) (name "Net-(IC1-Pad22)")
(node (ref IC1) (pin 22)))
(net (code 58) (name "Net-(IC1-Pad37)")
(node (ref IC1) (pin 37)))
(net (code 59) (name /XCK)
(node (ref IC1) (pin 17))
(node (ref IC1) (pin 11)))
(net (code 60) (name "Net-(IC1-Pad22)")
(node (ref IC1) (pin 22)))
(net (code 61) (name "Net-(IC1-Pad13)")
(net (code 59) (name /Scope_CH1_DC)
(node (ref P1) (pin 3))
(node (ref P1) (pin 1))
(node (ref C1) (pin 1))
(node (ref P1) (pin 4))
(node (ref R1) (pin 2)))
(net (code 60) (name "Net-(IC1-Pad13)")
(node (ref IC1) (pin 13)))
(net (code 62) (name "Net-(IC1-Pad23)")
(net (code 61) (name "Net-(IC1-Pad23)")
(node (ref IC1) (pin 23)))
(net (code 63) (name "Net-(IC1-Pad43)")
(net (code 62) (name "Net-(IC1-Pad43)")
(node (ref IC1) (pin 43)))
(net (code 63) (name /AVCC_ON_2)
(node (ref IC1) (pin 44))
(node (ref R4) (pin 1))
(node (ref R5) (pin 2))
(node (ref R6) (pin 2))
(node (ref R3) (pin 2)))
(net (code 64) (name "Net-(IC1-Pad25)")
(node (ref IC1) (pin 25)))
(net (code 65) (name "Net-(IC1-Pad16)")
@ -1012,43 +1017,47 @@
(net (code 66) (name "Net-(IC1-Pad36)")
(node (ref IC1) (pin 36)))
(net (code 67) (name /PSU_Unfiltered_Raw)
(node (ref D1) (pin 1))
(node (ref C15) (pin 1))
(node (ref L3) (pin 2))
(node (ref C7) (pin 1))
(node (ref P10) (pin 1))
(node (ref R26) (pin 1))
(node (ref P11) (pin 2))
(node (ref D1) (pin 1)))
(node (ref C7) (pin 1))
(node (ref L3) (pin 2))
(node (ref P10) (pin 1))
(node (ref P11) (pin 2)))
(net (code 68) (name /Buffered_DAC_CH1)
(node (ref R22) (pin 2))
(node (ref U2) (pin 8))
(node (ref R19) (pin 1))
(node (ref R29) (pin 1))
(node (ref R19) (pin 1)))
(node (ref U2) (pin 8)))
(net (code 69) (name /Buffered_DAC_CH2)
(node (ref R30) (pin 2))
(node (ref R23) (pin 2))
(node (ref R20) (pin 1))
(node (ref U2) (pin 14)))
(node (ref U2) (pin 14))
(node (ref R23) (pin 2))
(node (ref R30) (pin 2)))
(net (code 70) (name /TO_B0)
(node (ref IC1) (pin 4))
(node (ref U3) (pin 2)))
(node (ref U3) (pin 2))
(node (ref IC1) (pin 4)))
(net (code 71) (name /S-Gen_Drain_Top_CH2)
(node (ref R16) (pin 2))
(node (ref R15) (pin 2))
(node (ref U3) (pin 6)))
(net (code 72) (name /PSU_PWM)
(node (ref Q1) (pin 1))
(node (ref C12) (pin 1))
(node (ref IC1) (pin 24))
(node (ref R31) (pin 1))
(node (ref IC1) (pin 24)))
(node (ref Q1) (pin 1)))
(net (code 73) (name "Net-(D2-Pad2)")
(node (ref D2) (pin 2))
(node (ref R32) (pin 2)))
(net (code 74) (name /V_minus_dac_out)
(node (ref R18) (pin 1))
(node (ref R17) (pin 1))
(node (ref R19) (pin 2))
(node (ref U2) (pin 9)))
(node (ref U2) (pin 9))
(node (ref R17) (pin 1))
(node (ref R18) (pin 1)))
(net (code 75) (name /R23-R25)
(node (ref R23) (pin 1))
(node (ref R25) (pin 2)))))
(node (ref R25) (pin 2)))
(net (code 76) (name /OPAMP_VCC)
(node (ref C16) (pin 1))
(node (ref U2) (pin 4))
(node (ref L4) (pin 1)))))

View File

@ -491,12 +491,12 @@ VCC_3V3
$Comp
L INDUCTOR L1
U 1 1 5606EE9E
P 10150 5050
F 0 "L1" V 10100 5050 50 0000 C CNN
F 1 "INDUCTOR" V 10250 5050 50 0000 C CNN
F 2 "Special_inductor:SELF-WE-PD-XXL" H 10150 5050 60 0001 C CNN
F 3 "" H 10150 5050 60 0000 C CNN
1 10150 5050
P 10350 5050
F 0 "L1" V 10300 5050 50 0000 C CNN
F 1 "INDUCTOR" V 10450 5050 50 0000 C CNN
F 2 "Special_inductor:SMALL_INDUCTOR" H 10350 5050 60 0001 C CNN
F 3 "" H 10350 5050 60 0000 C CNN
1 10350 5050
0 1 1 0
$EndComp
$Comp
@ -1347,8 +1347,6 @@ Connection ~ 9800 5050
Wire Wire Line
9800 5200 9800 5050
Connection ~ 10750 5050
Wire Wire Line
10450 5050 10950 5050
Wire Wire Line
9850 5050 9100 5050
Wire Wire Line
@ -1566,4 +1564,17 @@ Wire Wire Line
Wire Wire Line
8200 3600 9200 3600
Connection ~ 10100 5650
Wire Wire Line
10950 5050 10650 5050
$Comp
L F_Small F2
U 1 1 58F5AF9C
P 9950 5050
F 0 "F2" H 9910 5110 50 0000 L CNN
F 1 "F_Small" H 9830 4990 50 0000 L CNN
F 2 "Capacitors_SMD:C_1210" H 9950 5050 50 0001 C CNN
F 3 "" H 9950 5050 50 0000 C CNN
1 9950 5050
1 0 0 -1
$EndComp
$EndSCHEMATC